Dissertations / Theses on the topic 'Si (111) Substrates'

To see the other types of publications on this topic, follow the link: Si (111) Substrates.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Si (111) Substrates.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Nguyen, Van H. "Epitaxial growth of relaxed Ge buffers on (111) and (110) Si substrates using RP-CVD." Thesis, University of Warwick, 2012. http://wrap.warwick.ac.uk/50222/.

Full text
Abstract:
The continued scaling of Si metal oxide semiconductor field effect transistor (MOSFET) devices to enhance performance is reaching its fundamental limits and the need for new device architecture and/or new materials is driving research and development within the semiconductor industry. Germanium, with its much higher intrinsic carrier mobilities, has a considerable advantage over Si as a channel material and its compatibility with current complementary metal oxide semiconductor (CMOS) technology makes it a very promising candidate. There is currently significant technological interest in the epitaxial growth of high quality relaxed Ge layers directly on Si substrates for potential applications including: high-mobility metal-oxide-semiconductor field-effect-transistors (MOSFETs), infrared photodetectors, solar cells and III-V integration. The crystallographic orientation of the substrate also influences the inversion layer mobility in transistors; compared to (100) orientation, Ge grown on (111) and (110) substrates can considerably enhance the carrier mobilities for electrons and holes. The 4.2% mismatch between Ge and Si is, however, a major drawback for the growth of high quality epitaxial layers, as 3-dimensional islanding, surface roughening and the generation of a high density of defects can occur which are all detrimental to performance of prospective devices. In particular, epitaxial growth on (110) and (111) surfaces is more susceptible to the formation of extended stacking faults as the gliding sequence of the dissociated 30° and 90° partial dislocations is reversed with respect to that for the (100) surface. This means that the concept of a thick graded buffer for gradual strain relaxation is not as easily applicable in the case of (111) and (110) substrates. In this work, we have investigated the growth of relaxed Ge films on (111) and (110) Si substrates by reduced-pressure chemical vapour deposition (RP-CVD) in an ASM Epsilon 2000 reactor using the high temperature/ low temperature growth technique, which comprises of a thin low temperature (LT) Ge seed, a thick high temperature (HT) Ge layer and subsequent in-situ high temperature H2 anneal. We will show how the growth conditions influence both the presence and nature of defects within the Ge layers, their surface morphology and also the state of relaxation using transmission electron microscopy (TEM), atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. Formation of islands in the 10 nm Ge seed layer has led to a significant enhancement in the quality of the buffer by providing a effective way to relax the layers, reducing the densities of stacking faults and threading dislocations by at least a decade compared to previous studies and also producing a smooth surface around 2 nm rms.
APA, Harvard, Vancouver, ISO, and other styles
2

Hortamani, Mahboubeh. "Theory of adsorption, diffusion and spinpolarization of Mn on Si(001) and Si(111) substrates." [S.l.] : [s.n.], 2006. http://www.diss.fu-berlin.de/2006/588/index.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Wang, Yong. "Research on improvement of breakdown voltage of AlGaN/GaN HEMTs grown on Si(111) substrates by MOCVD /." View abstract or full-text, 2009. http://library.ust.hk/cgi/db/thesis.pl?ECED%202009%20WANGY.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Curcella, Alberto. "Looking for silicene: studies of silicon deposition on metallic and semiconductor substrates." Master's thesis, Alma Mater Studiorum - Università di Bologna, 2015. http://amslaurea.unibo.it/9314/.

Full text
Abstract:
Nel presente lavoro espongo i risultati degli esperimenti svolti durante la mia internship all’Institut des NanoSciences de Paris (INSP), presso l’Università Pierre et Marie Curie (Paris VI), nel team "Phisico-Chimie et Dynamique des Surfaces", sotto la supervisione del Dott. Geoffroy Prévot. L’elaborato è stato redatto e in- tegrato sotto la guida del Dott. Pasquini, del dipartimento di Fisica e Astronomia dell’Università di Bologna. La tesi s’inserisce nel campo di ricerca del silicene, i.e. l’allotropo bidimensionale del silicio. Il cosidetto free-standing silicene è stato predetto teoricamente nel 2009 utilizzando calcoli di Density Functional Theory, e da allora ha stimolato un’intensa ricerca per la sua realizzazione sperimentale. La sua struttura elettronica lo rende particolarmente adatto per eventuali appli- cazioni tecnologiche e sperimentali, mentre lo studio delle sue proprietà è di grande interesse per la scienza di base. Nel capitolo 1 presento innanzitutto la struttura del silicene e le proprietà previste dagli studi pubblicati nella letteratura scientifica. In seguito espongo alcuni dei risultati sperimentali ottenuti negli ultimi anni, in quanto utili per un paragone con i risultati ottenuti durante l’internship. Nel capitolo 2 presento le tecniche sperimentali che ho utilizzato per effettuare le misure. Molto tempo è stato investito per ottenere una certa dimistichezza con gli apparati in modo da svolgere gli esperimenti in maniera autonoma. Il capitolo 3 è dedicato alla discussione e analisi dei risultati delle misure, che sono presentati in relazione ad alcune considerazioni esposte nel primo capitolo. Infine le conclusioni riassumono brevemente quanto ottenuto dall’analisi dati. A partire da queste considerazioni propongo alcuni esperimenti che potrebbero ulteriormente contribuire alla ricerca del silicene. I risultati ottenuti su Ag(111) sono contenuti in un articolo accettato da Physical Review B.
APA, Harvard, Vancouver, ISO, and other styles
5

Rouissi, Zied [Verfasser], Dieter [Akademischer Betreuer] Schmeißer, Ehrenfried [Akademischer Betreuer] Zschech, and Christian [Akademischer Betreuer] Pettenkofer. "Role of substrates morphology and chemistry in ALD HfO₂ on Si(111)-H terminated surfaces as model / Zied Rouissi ; Dieter Schmeißer, Ehrenfried Zschech, Christian Pettenkofer." Cottbus : BTU Cottbus - Senftenberg, 2017. http://d-nb.info/1136904441/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Destefanis, Vincent. "Dépôt et gravure en phase vapeur d'hétérostructures Si/SiGe sur substrats (100), (110) et (111)." Grenoble INPG, 2009. http://www.theses.fr/2009INPG0079.

Full text
Abstract:
Le dépôt CVD de Si/SiGe et leur gravure sélective par HCl ont été étudiés sur substrats Si(100), (110) et (111). L’épitaxie de Si/SiGe a démontré de plus faibles vitesses de croissance du SiGe(110) ainsi que de plus faibles %Ge(110). L’intégration de couches (110) sur des substrats avec motifs a mené à la fabrication de dispositifs SOI Localisé (110) avec de forts gains de mobilités de trous. La croissance de substrats virtuels épais de SiGe a mené à des densités de défauts élevées sur (110) et (111). Les fortes valeurs de contraintes de couches de Si(110) contraintes en tension semblent toutefois prometteuses. L’épitaxie locale de Ge(110) relaxé dans des motifs a mis en évidence des défauts normaux à la surface (110). La gravure sélective HCl du SiGe par rapport au Si, étudiée à hautes pressions, a amélioré les vitesses et sélectivités de gravure sur (100). Ces résultats de gravure obtenus sur (100) contrastent avec les sélectivités de gravure médiocres mises en évidence sur (110)
CVD deposition of Si/SiGe on (100), (110) and (111) substrates and their selective chemical vapour etch using HClhave been studied. The epitaxy of Si/SiGe has notably highlighted lower SiGe(110) growth rates and Ge(110) contents than on (100). Integration of (110) epitaxiallayers on patterned Si substrates has led to (110) Localized Silicon On Insulator devices with impressive hole mobility gains. Growth of thick, fully-relaxed SiGe virtual substrates has noticeably shown a high defectivity on (110) and (111). The high levels of strain obtained in (110) tensily strained Si layers seem however promising. The selective epitaxial growth of relaxed Ge(110) layers in narrow patterns has exhibited defects normal to the (110) surface and poor defect trapping. The HCI lateral selective etching of SiGe versus Si at high HCI pressure has improved (100) etch rates and selectivities. These technologically relevant (100) etching results contrast with the poor (110) etching selectivities obtained
APA, Harvard, Vancouver, ISO, and other styles
7

Xu, Zhongjie, and 徐忠杰. "Molecular beam epitaxial growth of GaN on Si(111) substrate." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2010. http://hub.hku.hk/bib/B45866338.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Tanaka, Shigeyasu, Yoshio Honda, and Nobuhiko Sawaki. "Structural characterization of GaN laterally overgrown on a (111)Si substrate." American Institute of Physics, 2001. http://hdl.handle.net/2237/6985.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Albertini, David. "Etude par microscopie à effet tunnel du système SiH4-Si(111)7x7." Aix-Marseille 2, 1998. http://www.theses.fr/1998AIX22097.

Full text
Abstract:
La chimisorption et la croissance a la surface de materiaux sont des etapes initiales preponderantes dans de nombreux procedes industriels. Au cours de ma these, j'ai etudie par depot chimique en phase gazeuse (c. V. D. ) la croissance homoepitaxique sur la surface si(111)77 a partir d'un gaz de silane (sih#4). Mon etude a ete realisee a l'aide d'un microscope a effet tunnel (s. T. M. ). C'est une technique particulierement bien adaptee pour ce type de travaux. En effet, elle nous permet de visualiser dans l'espace direct la chimisorption a l'echelle atomique, d'identifier les sites reactifs et enfin de caracteriser sur de plus grandes echelles la croissance des films deposes. Des resultats originaux sur la chimisorption du silane a la surface si(111)77 ont ete obtenus : _ l'etude par microscopie a effet tunnel nous a permis d'identifier sans ambiguite les sites d'adsorption du silane a temperature ambiante. Le couple restatome-adatome dissocie la molecule de silane et conduit a la formation de deux especes sih#2 doublement lies au substrat. De plus, nous avons pu mettre en evidence par spectroscopie tunnel la presence de l'espece sih en surface. _ dans la gamme de temperature 673-823k, nous avons mis en evidence que le silicium est bloque dans sa diffusion par l'hydrogene present en surface. Ceci cree de petits amas hydrogenes. En considerant que l'hydrogene desorbe a partir de ces pieges, nous avons pu modeliser la cinetique de desorption qui est alors du premier ordre. _ les phenomenes de nucleation constituent la derniere partie de ma these. Nous obtenons des resultats originaux qui ne peuvent etre interpretes par les theories classiques de nucleation que si on considere la variation du recouvrement en hydrogene en fonction de la temperature et/ou de la pression. A partir de nos resultats et d'etudes recentes publiees dans la litterature, nous pouvons affirmer, en depit de cette interpretation, que la deconstruction de la 77, et non la diffusion, est le facteur limitant la croissance.
APA, Harvard, Vancouver, ISO, and other styles
10

Guirleo, Guillaume. "Etude des propriétés électriques et optiques d'hétérostructures Si/CaF2 déposées sur des substrats de Si(111)." Phd thesis, Aix-Marseille 2, 2002. http://www.theses.fr/2002AIX22059.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Tanaka, Shigeyasu, Yasutoshi Kawaguchi, Nobuhiko Sawaki, Michio Hibino, and Kazumasa Hiramatsu. "Defect structure in selective area growth GaN pyramid on (111)Si substrate." American Institute of Physics, 2000. http://hdl.handle.net/2237/6983.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Lai, Wai-kong Pan. "Low energy electron diffraction from SI(111)7X7 and ultrathin films on substrate crystals /." Hong Kong : University of Hong Kong, 1999. http://sunzi.lib.hku.hk/hkuto/record.jsp?B20979071.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Roge, Tina Patrick. "Etudes de la ségrégation du bore à la surface Si(111) et de la croissance du cuivre sur le substrat Si (111)-B-√3x√3 r 30°." Aix-Marseille 2, 1994. http://www.theses.fr/1994AIX22002.

Full text
Abstract:
Cette these porte sur l'etude de la croissance du cuivre sur une surface de si(iii)3x3 r 30 obtenue apres segregation du bore. Une telle surface est constituee d'adatomes de si et d'atomes de b confines en deuxieme couche et occupant des sites ternaires situes a la verticale des adatomes de si (site s5). Nous avons montre, grace a la microscopie par effet tunnel, que la signature du bore en sous-surface a permis un controle de la quantite de dopants en surface et une analyse detaillee de la segregation du bore. La confrontation de nos resultats avec des modeles thermodynamiques montre que la distribution spatiale du bore est le resultat d'un important effet cooperatif. La caracterisation locale ainsi effectuee des substrats si(iii)-b-3x3 r 30 a permis de comparer la croissance du cuivre sur des substrats avec differentes concentrations superficielles en bore. Nos resultats ont mis en evidence le fait que les premiers nuclei de cu se forment preferentiellement sur les adatomes de si au-dessus des atomes de b manquants. La comparaison entre l'interface cu/si(iii)7x7 et cu/si(iii)-b-3x3 r 30 souligne par ailleurs la forte reduction de l'interaction entre le cu et le substrat si (iii) induite par le transfert de charge entre les adatomes de si et les atomes de b
APA, Harvard, Vancouver, ISO, and other styles
14

Fritze, Stephanie [Verfasser], and Armin [Akademischer Betreuer] Dadgar. "Wachstumsoptimierung und Charakterisierung von MOVPE-basierten GaN Pufferstrukturen auf Si(111) Substraten / Stephanie Fritze. Betreuer: Armin Dadgar." Magdeburg : Universitätsbibliothek, 2014. http://d-nb.info/1058913530/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Honda, Y., Y. Kuroiwa, M. Yamaguchi, and N. Sawaki. "Growth of GaN free from cracks on a (111)Si substrate by selective metalorganic vapor-phase epitaxy." American Institute of Physics, 2002. http://hdl.handle.net/2237/7003.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Kuei, Chun-Fu. "Transmission electron microscopy study on the formation of SiNX interlayer during InAlN growth on Si (111) substrate." Thesis, Linköpings universitet, Tunnfilmsfysik, 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-125472.

Full text
Abstract:
Ternary indium aluminum nitride (InXAl1-XN) semiconductor is an attractive material with a wide-range bandgap energy varied from ultraviolet (Eg(AlN): 6.2 eV) to near infrared (Eg(InN): 0.7 eV). With tuning composition, it can be widely used to many optoelectronic device applications. In this thesis, I have studied InXAl1-XN film deposited on Si (111) substrate using natural and isotopically enriched nitrogen as reactive gas by reactive magnetron sputter epitaxy (MSE). Four series of experiments were performed, which are I. InAlN presputtering, II. InAlN sputter deposition, III. InAlN direct deposition, and IV. InAlN direct deposition using isotopically enriched nitrogen. The samples were characterized by X-ray diffraction (XRD), transmission electron microscopy (TEM), and energy-dispersive X-ray spectroscopy (EDX). The θ-2θ XRD scan confirms that the designed composition x = 0.17 of InXAl1-XN film was obtained. TEM images shows that an amorphous interlayer with a thickness ranging from 1.2 nm to 1.5 nm was formed between Si substrate and InXAl1-XN film. However, high-resolution TEM shows that the interlayer actually contains partial crystalline structures. EDX line profile indicates that the chemical composition of the amorphous interlayer is silicon nitride (SiNX). By comparing d-spacing measurement of partial crystalline structures with EDX line profile, it reveals that partial SiNX crystal is formed in the interlayer. Nonetheless, the samples (IAD01, IAD02, IAD03, IAD04), grown without presputtering procedure, contain both crystalline SiNX and InXAl1-XN embedded in the amorphous interlayer. It means that SiNX and InXAl1-XN film can be directly grown on the substrate in the beginning of deposition. Moreover, the samples (IAD01, IAD03), quenched directly after deposition, have less crystalline structures in the interlayer then the samples (IAD02, IAD04), maintained at 800℃ for 20 min.
APA, Harvard, Vancouver, ISO, and other styles
17

Kaplan, Burkan. "Preparation Of Plzt Thin Films By Chemical Solution Deposition And Their Characterization." Master's thesis, METU, 2005. http://etd.lib.metu.edu.tr/upload/2/12606738/index.pdf.

Full text
Abstract:
ABSTRACT PREPARATION OF PLZT THIN FILMS BY CHEMICAL SOLUTION DEPOSITION AND THEIR CHARACTERIZATION Kaplan, Burkan M.S., Department of Metallurgical and Materials Engineering Supervisor: Prof. Dr. Macit Ö
zenbaS November 2005, 125 pages In this study, La3+ was substituted into lead zirconate titanate (PZT) system by Pb1-xLax(ZryTi1-y)1-x/4O3 nominal stochiometry and it was processed via chemical solution deposition on (111)-Pt/Ti/SiO2/Si-(100) substrate.PLZT solutions were prepared by mixing two solutions, one of which was obtained by dissolving lead acetate and lanthanum acetate hydrate in 2 methoxyethanol at high temperature. This solution was then mixed with the second solution containing zirconium propoxide and titanium isopropoxide. 40ml/0.4M solution was prepared and spin coated on Pt/Ti/SiO2/Si substrates at 3000 rpm for 30 seconds. After 4 coating cycles, film thickness was reached to 600 nm. A systematic study was carried out in different regions of PLZT phase diagram tetragonal, rhombohedral and on the morphotropic phase boundry (MPB) to obtain optimized results of ferroelectric, dielectric and optical properties of the material. During the period of the work, effect of parameters on these properties such as heat treatment conditions, chemical composition of the film, microstructure and thickness of the film was investigated. The films were characterized structurally and electrically. For structural properties, X-ray diffraction technique (XRD), energy dispersive spectrometry (EDS) and Scanning Electron Microscope (SEM) were used to observe phases and surface characterization. For electrical measurements, ferroelectric tester was used to obtain dielectric constant, loss tangent and hysteresis curves. Optical transmittance of the films was also investigated by UV-VIS Spectrophotometer and optical film constants were calculated by modified envelope method. It was observed that the optimum heat treatment conditions were achieved at 7500C for 3 hours. The highest ferroelectric and dielectric properties such as remanent polarization and dielectric constant were obtained using that temperature. The dielectric constant of the films was measured in the frequency range of 1kHz-1MHz and remained almost constant in this region. The change of dielectric constant and ferroelectric hysteresis loops were obtained as a function of Zr/Ti ratio and La content. The grain size as a function of sintering temperature and La content was investigated. It was seen that as the sintering temperature was increased, the grain size of the films increased. The same tendency was also observed when the La content was increased. Fatigue behavior of PLZT thin films was also investigated by Radiant Ferroelectric Tester at 50 kHz and ±
15V. Change of remanent polarization (Pr) as a function of cumulative switching cycles (N) was drawn with the log scale of x-axis. Furthermore, leakage current characteristics of the films were also obtained by the ferroelectric tester at &
#61617
15V. It has been observed that as the La content of the film was increased, leakage current of the PLZT films decreased. Keywords: PLZT, (111)-Pt/Ti/SiO2/Si-(100) substrate, Chemical Solution Deposition.
APA, Harvard, Vancouver, ISO, and other styles
18

Megdiche, Makram. "Développement des contraintes lors de la réaction entre un film mince de métal et un substrat de Si : application aux systèmes Pd/Si(001) et Pd/Si(111)." Aix-Marseille 3, 2006. http://www.theses.fr/2006AIX30015.

Full text
Abstract:
Dans ce travail de thèse nous nous sommes attaché à analyser en détail les contraintes générées lors de la formation de Pd2Si par réaction entre un film de Pd et un substrat monocristallin de Si orienté (001) ou (111). Les mesures de courbure et diffraction des rayons X in-situ montrent que la contrainte dans PdzSi est compressive pour les deux orientations Si(001) et Si(lll), alors que la contrainte d'épitaxie dans le cas de Pd3Si/Si(111) laisse prévoir une contrainte de tension (misfit +1,8%). La comparaison de ces deux orientations s'avère intéressante puisque la microstructure de la couche finale Pd2Si varie beaucoup avec l'orientation du substrat. La croissance de PdzSi n'est pas épitaxiée sur Si(OO1) (texture de fibre avec une mosaïcité de l'ordre 17°) et épitaxiée sur Si(111) mosaïcité de l'ordre de 1,8°). Ces microstructures très contrastées se reflètent dans l'évolution des contraintes. En particulier on constate une différence très marquée de cinétique de relaxation des contraintes ; pas ou peu de relaxation sur Si(111), relaxation importante sur Si (001). Des mesures complémentaires de DRXqui ont été réalisées à l'ESRF sur des substrats de Si(001) montrent un élargissement des raies asymétrique 22. 1 du Pd2Si. Ces résultats confirment la présence d'un fort gradient de contrainte dans le siliciure. L'ensemble des résultats expérimentaux ont été comparés qualitativement avec le modèle de Zhang-d'Heurle
In this work we have analyzed in detail the stresses generated during the solid-state reaction between a palladium thin film and a Si(OOl) or Si(111) substrate. In-situ curvature and X-ray diffraction measurements show that the stress in Pd2Si is compressive in both cases (001) and (111) at variance with the sign of epitaxial misfit (+1,8 %) in Pd2Si/Si(111). The comparison between these two orientations show'very different microstroctures for the final PdzSi layer. The PdzSi grown on Si(OOl) is not epitaxial (fiber texture with a mosaic spread of the order of 17°) and is epitaxial on Si(111) (mosaic spread 1,8°). These very contrasted microstructures are reflected in the evolution of stresses. In particular we observe a marked difference between the stress relaxation kinetics: almost no stress relaxation on Si (111), important relaxation on Si (001). Additional measurements performed at the European Synchrotron Radiation Facility (ESRF) on Si (001) show an asymmetrical broadening of the PdiSi 22. 1 line. These results confirm the presence of a strong stress gradient in the silicide layer. All these experimental results were compared qualitatively with Zhang-d'Heurle model
APA, Harvard, Vancouver, ISO, and other styles
19

Muduli, Pranaba Kishor. "Ferromagnetic thin films of Fe and Fe 3 Si on low-symmetric GaAs(113)A substrates." Doctoral thesis, Humboldt-Universität zu Berlin, Mathematisch-Naturwissenschaftliche Fakultät I, 2006. http://dx.doi.org/10.18452/15473.

Full text
Abstract:
In dieser Arbeit werden das Wachstum mittels Molekularstrahlepitaxie und die Eigenschaften der Ferromagneten Fe und Fe_3Si auf niedrig-symmetirschen GaAs(113)A-Substraten studiert. Drei wichtige Aspekte werden untersucht: (i) Wachstum und strukturelle Charakterisierung, (ii) magnetische Eigenschaften und (iii) Magnetotransporteigenschaften der Fe und Fe_3Si Schichten auf GaAs(113)A-Substraten. Das Wachstum der Fe- und Fe_3Si-Schichten wurde bei einer Wachstumstemperatur von = bzw. 250 °C optimiert. Bei diesen Wachstumstemperaturen zeigen die Schichten eine hohe Kristallperfektion und glatte Grenz- und Oberflächen analog zu [001]-orientierten Schichten. Weiterhin wurde die Stabilität der Fe_(3+x)Si_(1-x) Phase über einen weiten Kompositionsbereich innerhalb der Fe_3Si-Stoichiometry demonstriert. Die Abhängigkeit der magnetischen Anisotropie innerhalb der Schichtebene von der Schichtdicke weist zwei Bereiche auf: einen Beresich mit dominanter uniaxialer Anisotropie für Fe-Schichten = 70 MLs. Weiterhin wird eine magnetische Anisotropie senkrecht zur Schichtebene in sehr dünnen Schichten gefunden. Der Grenzflächenbeitrag sowohl der uniaxialen als auch der senkrechten Anisotropiekonstanten, die aus der Dickenabhängigkeit bestimmt wurden, sind unabhängig von der [113]-Orientierung und eine inhärente Eigenschaft der Fe/GaAs-Grenzfläche. Die anisotrope Bindungskonfiguration zwischen den Fe und den As- oder Ga-Atomen an der Grenzfläche wird als Ursache für die uniaxiale magnetische Anisotropie betrachtet. Die magnetische Anisotropie der Fe_3Si-Schichten auf GaAs(113)A-Substraten zeigt ein komplexe Abhängigkeit von der Wachstumsbedingungen und der Komposition der Schichten. In den Magnetotransportuntersuchungen tritt sowohl in Fe(113)- als auch in Fe_3Si(113)-Schichten eine antisymmetrische Komponente (ASC) im planaren Hall-Effekt (PHE) auf. Ein phänomenologisches Modell, dass auf der Kristallsymmetrie basiert, liefert ein gute Beschreibung sowohl der ASC im PHE als auch des symmetrischen, anisotropen Magnetowiderstandes. Das Modell zeigt, dass die beobachtete ASC als Hall-Effekt zweiter Ordnung beschreiben werden kann.
In this work, the molecular-beam epitaxial growth and properties of ferromagnets, namely Fe and Fe_3Si are studied on low-symmetric GaAs(113)A substrates. Three important aspects are investigated: (i) growth and structural characterization, (ii) magnetic properties, and (iii) magnetotransport properties of Fe and Fe_3Si films on GaAs(113)A substrates. The growth of Fe and Fe_3Si films is optimized at growth temperatures of 0 and 250 degree Celsius, respectively, where the layers exhibit high crystal quality and a smooth interface/surface similar to the [001]-oriented films. The stability of Fe_(3+x)Si_(1-x) phase over a range of composition around the Fe_3Si stoichiometry is also demonstrated. The evolution of the in-plane magnetic anisotropy with film thickness exhibits two regions: a uniaxial magnetic anisotropy (UMA) for Fe film thicknesses = 70 MLs. The existence of an out-of-plane perpendicular magnetic anisotropy is also detected in ultrathin Fe films. The interfacial contribution of both the uniaxial and the perpendicular anisotropy constants, derived from the thickness-dependent study, are found to be independent of the [113] orientation and are hence an inherent property of the Fe/GaAs interface. The origin of the UMA is attributed to anisotropic bonding between Fe and As or Ga at the interface, similarly to Fe/GaAs(001). The magnetic anisotropy in Fe_3Si on GaAs(113)A exhibits a complex dependence on the growth conditions and composition. Magnetotransport measurements of both Fe(113) and Fe_3Si(113) films shows the striking appearance of an antisymmetric component (ASC) in the planar Hall effect (PHE). A phenomenological model based on the symmetry of the crystal provides a good explanation to both the ASC in the PHE as well as the symmetric anisotropic magnetoresistance. The model shows that the observed ASC component can be ascribed to a second-order Hall effect.
APA, Harvard, Vancouver, ISO, and other styles
20

Pecoraro, Stéphane. "Etude des premiers stades de la croissance du diamant sur des surfaces concaves et des plages minces de Si (111) par MET à haute résolution." Université Louis Pasteur (Strasbourg) (1971-2008), 2002. http://www.theses.fr/2002STR13204.

Full text
Abstract:
Dans le domaine de l'électronique de puissance, les films minces de diamant synthétique sont de sérieux candidats pour envisager des dispositifs actifs ou passifs opérant à haute température et en atmosphère corrosive et radiative. La qualité cristalline des films et l'adhésion sont des obstacles pour les applications électroniques. Pour des substrats de silicium, un traitement précédant la synthèse CVD tel que la nucléation assistée par polarisation favorise l'augmentation de la densité de nucléation et l'orientation des cristaux. Il est nécessaire de mieux comprendre les mécanismes induisant la nucléation orientée qui jouent un rôle critique sur la qualité structurale du film afin de mieux les contrôler. Notre objectif est d'apporter des informations sur la nature du précurseur du diamant, l'identification des sites de nucléation, les relations cristallographiques entre les cristaux de diamant et le silicium et de préciser le rôle des défauts structuraux de surface. Dans ce but, nous avons réalisé des synthèses CVD précédées ou non d'une étape de nucléation assistée par polarisation sur des échantillons de Si (111) originaux présentant deux zones d'intérêt. Une étude séquentielle et structurale a été menée par microscopie électronique en transmission à haute résolution (METHR) sur les zones minces de l'échantillon. Deux chemins possibles pour la nucléation du diamant ont été mis en évidence, via une phase de carbure de silicium en relation de pseudo-épitaxie avec le substrat ou directement sur le silicium. En générant des surfaces à géométrie contrôlée sur les parties concaves de l'échantillon, nous avons mis en évidence une augmentation de la densité de nucléation et une croissance préférentielle des cristaux de diamant à proximité des défauts structuraux de surface
Heteroepitaxy of diamond CVD films is a great challenge for future applications in high temperature and high power electronic devices. However, the crystalline quality and the adhesion remain obstacles to use diamond films in electronic devices. For silicon substrate, the bias enhanced nucleation (BEN) which precedes the synthesis CVD, is a powerful way to induce a strong increase of the diamond nucleation density as well as an orientation of the crystals. A better understanding of the nucleation mechanisms is required in order to better control the growth. Our aim is to identify the crystallographic and chemical nature of diamond precursor, the epitaxial relationship between the diamond crystals and the silicon substrate and to study the structural defects part on the nucleation. In this way, original Si (111) samples which present two areas of interest underwent CVD growth preceded or not by a BEN step. A sequential study is performed by high resolution transmission electronic microscopy (HRTEM) on the thinned monocrystalline areas. Two ways for diamond nucleation were evidenced, via a mosaic of silicon carbide crystals which present a pseudo-epitaxial relationship with the silicon substrate, or directly on the silicon. On the concave part of the sample, it is possible to generate surface defects with a controlled geometry. On these surfaces, a strong increase of the diamond nucleation density and a preferential growth of the diamond crystals in the vicinity of the structural defects were shown
APA, Harvard, Vancouver, ISO, and other styles
21

Lalmi, Boubekeur. "Formation de siliciures par réaction à l'état solide de films ultraminces de silicium sur des substrats métalliques (Si/Cu(001), Si/Ni(111)) - Etude structurale et cinétique." Aix-Marseille 3, 2009. http://www.theses.fr/2009AIX30007.

Full text
Abstract:
Ce travail porte sur l'étude des premiers stades de la formation des siliciures par réaction à l'état solide de dépôts ultraminces de silicium sur des substrats métalliques monocristallins (Cu(OO1), Ni(111)). Les deux systèmes modèles choisis (Si/Ni, Si/Cu) se caractérisent par une forte tendance à l'ordre, des solubilités limites importantes du Si dans le métal et une très forte tendance à la ségrégation superficielle du Si. Notre approche consiste à déposer deux sortes de films ultraminces de Si (~ 1 monocouche ou 5 monocouches) à température ambiante et ensuite à réaliser des expériences de dissolution (diffusion à l'état solide). A travers les cinétiques de dissolution, en couplant différentes techniques d'analyse de surface (AES, LEED, PES et STM) nous avons pu, d'une part, caractériser d'un point de vue cinétique et structural les composés 2D qui se forment lors de la dissolution d'une monocouche et, d'autre part, nous avons mis en évidence la formation séquentielle de deux composés 3D dans le cas de la dissolution de dépôts de l'ordre de 5 MC
This work concerns the study of the first stages ofsilicides formation by solid state reaction between an ultra thins silicon deposits and a metallic single-crystal substrates (Cu (001), Ni (111)). Both model systems chosen (Si/Ni, Si/Cu) are characterized by a huge tendency to the order, an important solubility of silicon in the metal and a very strong tendency to the superficial segregation of Si. Our approach consists in depositing a two kinds of ultra thins silicon films (~ 1 monolayer or 5 monolayers), and then realizing experiments dissolution (diffusion at solid state). Through the dissolution kinetics monitored using various sensitive surface techniques (AES, LEED, PES and STM,) we determined, in the first time, the structural and kinetic properties of the 2D compounds which form during the dissolution of one monolayer and, on the other hand, we succeed to put in evidence the sequential formation of two 3D compounds in the case of the dissolution of ticks silicon deposits (~5MC)
APA, Harvard, Vancouver, ISO, and other styles
22

Coste, Marie. "Intégration hétérogène de GaAs sur Si à partir de nano-germes : étude de la nucléation et de la croissance de micro-cristaux sur substrats Si (001) et (111)." Thesis, Université Paris-Saclay (ComUE), 2018. http://www.theses.fr/2018SACLS578/document.

Full text
Abstract:
L’intégration du GaAs sur Si est un des défis majeurs des 40 dernières années puisqu’elle permettrait de combiner les nombreux avantages du Si, dont notamment son bas coût, avec les propriétés de haute mobilité et de gap direct du GaAs. Les cellules photovoltaïques multi-jonctions à base de matériau III-V permettent d’obtenir les plus hauts rendements de conversion photovoltaïque. Cependant, leur coût de fabrication élevé est un aspect limitatif de leur utilisation. Nous nous sommes intéressés ici à une étude préliminaire visant à réaliser leur intégration sur substrat Si. In fine, l’objectif sera la réalisation de cellules tandems GaAs/Si et GaAs/Ge sur substrat Si. L’intégration du GaAs et du Ge sur Si conduit cependant à la formation de dislocations et de fissures du fait de leurs désaccords de maille et de leurs différences de coefficient d’expansion thermique respectifs. De plus, du fait de la différence de polarité entre le GaAs et le Si, cette intégration conduit également à la formation de domaine d’anti-phase. Nous présentons dans cette étude un procédé d’intégration permettant à la fois l’élimination de ces défauts et le passage du courant entre le matériau épitaxié et le Si. Ce procédé est basé sur l’utilisation d’ouvertures de tailles nanométriques dans une silice fine, qui nous permet ainsi de réaliser la croissance du GaAs sur Si sous forme de cristaux, par épitaxie latérale à partir de nano-germes de GaAs ou de Ge. Pour ce faire, nous utilisons l’épitaxie par jet chimique sans gaz vecteur qui est une technique de croissance permettant une bonne sélectivité. La croissance sera tout d’abord étudiée dans des ouvertures aléatoires, facilement réalisées in-situ sous ultravide, puis dans des ouvertures localisées de tailles fixées. Ces dernières sont obtenues suite à une procédure longue et complexe qui repose sur des étapes de nettoyage chimique, d’enrésinement, de lithographie électronique, de développement et de gravure ionique réactive. Nous présenterons les résultats de la croissance directe de cristaux de GaAs dans les ouvertures sur Si (001) et Si (111), et également à partir de nano-germes de Ge. Ce procédé d’intégration a permis l’élimination des trois types de défauts précédemment indiqués, et nous avons obtenu de très bons résultats notamment lors de l’intégration dans les ouvertures localisées sur Si (111). Nous verrons que la morphologie des nano-germes de Ge peut toutefois être problématique lors de la reprise d’épitaxie du GaAs. La possibilité de passage du courant par effet tunnel à travers la silice fine sera ensuite vérifiée et le dopage des cristaux de GaAs avec du Si sera également présenté
GaAs on Si integration is one of the major challenges of the last 40 years as it would allow to combine Si advantages, like its low cost, with GaAs high mobility and direct bandgap. Multi-junction photovoltaic cells based on III-V materials have the highest photovoltaic conversion efficiencies. However, their high manufacturing cost is a limiting aspect of their use. This is why we have made a preliminary study aiming at realizing their integration on Si substrate. In fine, the objective will be the realization of tandem solar cells made of GaAs/Si and GaAs/Ge on Si substrate. However, GaAs and Ge integrations on Si lead to dislocations and cracks formations because of their respective differences of lattices parameters and thermal expansion coefficients. Moreover, because of the difference of polarity between GaAs and Si, this integration also leads to anti-phase domain formation. We present in this study an integration process allowing both these defects elimination and current passage between the epitaxial material and Si. This process is based on the use of nanoscale openings in a thin silica, which allows us to carry out GaAs crystals growth on Si by lateral epitaxy from GaAs or Ge nano-seeds. To do this, we use chemical beam epitaxy which is a growth technique allowing good selectivity. Firstly, the growth will be studied inside randomly dispersed openings, which are easily made in situ under ultra-high vacuum, and then inside localized openings with fixed sizes. These are obtained after a long and complex procedure including chemical cleaning, resist spin-coating, electronic lithography, development and reactive ion etching. We will present GaAs crystals direct growth inside openings on Si (001) and (111), and also from Ge nano-seeds. This integration process allowed the elimination of the three types of defects previously mentioned, and we have obtained very good results especially for the integration inside localized openings on Si (111). We will see that Ge nano-seeds morphology can however be problematic during the GaAs lateral epitaxy. In addition, the current passage by tunnel effect through the thin silica will be verified and the GaAs crystals doping with Si will also be presented
APA, Harvard, Vancouver, ISO, and other styles
23

Payer, Thomas [Verfasser], and Hoegen Michael [Akademischer Betreuer] Horn-von. "Präparation epitaktischer Wismutfilme auf Si(111) und NaCl(100) Substraten und Untersuchung ihrer elektrischen Leitfähigkeit / Thomas Payer ; Betreuer: Michael Horn-von Hoegen." Duisburg, 2016. http://d-nb.info/1122019017/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Hikosaka, T., T. Narita, Y. Honda, M. Yamaguchi, and N. Sawaki. "Optical and Electrical Properties of (1-101)GaN Grown on a 7°Off-Axis (001)Si Substrate." American Institute of Physics, 2004. http://hdl.handle.net/2237/7007.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Lahreche, Hacène. "Croissance de nitrures d'éléments III par épitaxie en phase vapeur à base d'organo-métalliques sur substrats 6H-SiC et Si(111) : application aux transistors à effet de champ." Grenoble INPG, 2000. http://www.theses.fr/2000INPG0124.

Full text
Abstract:
Le travail presente dans ce memoire est essentiellement centre sur la croissance par epvom de gan sur 6h-sic et si(111). Ces deux substrats proposent une alternative au saphir qui reste le plus communement utilise mais qui presente des limitations importantes, essentiellement en raison de ses proprietes thermiques. Sur 6h-sic, il a ete developpe un procede de croissance qui permet d'obtenir des films minces de gan ayant des proprietes structurales et optiques superieures a celles des films elabores sur substrat de saphir. Sur si(111), un procede de croissance developpe dans un premier temps a permis d'obtenir des films minces de gan monocristallins. Dans un deuxieme temps, il a ete possible de s'affranchir des problemes de contrainte dans ces films en proposant une structure tampon constituee de super-reseaux (ain/gan) x contraints. La tension biaxiale dans les films ayant ete diminuee d'un facteur quatre a permis d'augmenter sensiblement l'epaisseur des films epitaxies sans fissures. A partir de ces films minces de gan/si(111), un premier demonstrateur de transistor a effet de champ a ete obtenu. Ceci a ete rendu possible grace au caractere isolant des films de gan non intentionnellement dopes ainsi qu'aux bonnes proprietes de transports des films intentionnellement dopes de type n. En mesures statiques ces transistors ont des proprietes comparables a celles de transistors a canal gan sur saphir. En particulier, ils presentent un transconductance de 30 ms/mm et permettent un developpement en puissance de 7 w/mm. Ces resultats doivent etre ameliores grace a des structures a gaz d'electrons bidimensionnels algan/gan epitaxiees sur si(111) qui permettent d'atteindre des mobilites superieures a 800 cm 2/vs a 300k. Toutes les etapes qui menent a la realisation d'un dispositif, depuis la definition des conditions de croissance sur de nouveaux substrats jusqu'a l'optimisation de structures transistors ont ainsi ete parcourues.
APA, Harvard, Vancouver, ISO, and other styles
26

Jiao, Sai. "Etude de la croisssance CVD des films minces de 3C-SiC et élaboration du cantilever AFM en 3C-SiC avec pointe Si intégrée." Thesis, Tours, 2012. http://www.theses.fr/2012TOUR4021/document.

Full text
Abstract:
Parmi les polytypes les plus connus du carbure de silicium (SiC), le polytype cubique (3C-SiC), est le seul qui peut croitre sur des substrats silicium. L’hétérostructure 3C-SiC/Si est intéressante non seulement pour son faible coût de production mais aussi pour la conception de Systèmes Micro-Electro-Mécaniques (« MEMS »). La valeur élevée du module de Young du 3C-SiC, comparé à celui du silicium, permettrait à des cantilevers submicroniques, fabriqués à partir de films minces de 3C-SiC, de vibrer à ultra-hautes fréquences (>100MHz). Cette haute fréquence de résonance est la clé pour obtenir un système AFM non-contact ultra-sensible et rapide. Cependant, il n’existe pas de cantilever en SiC disponible sur le marché en raison de la difficulté à élaborer des films minces de 3C-SiC de bonne qualité, la technique de synthèse la plus utilisée étant le Dépôt Chimique en phase Vapeur (CVD). La raison première de cette difficulté à obtenir un matériau de bonne qualité réside essentiellement dans l’important désaccord de maille et la différence de dilatation thermique entre le 3C-SiC et Si qui génèrent des défauts cristallins à l’interface et jusqu’à la surface du film de 3C-SiC, la zone la plus défectueuse se localisant auprès de l’interface……
Among aIl the well known polytypes ofihe silicon carbide (SiC), the cubic polytype (3C-SiC) is the only one that min be grown on silicon substrates. This heterostructure 3C SiC/Si ta interesting not only for its low production cost but also for the design of tise Micro-Electro-Mechanical Systems (MEMS). The high value ofthe Young’s modulis the 3C-SiC, compared to the silicon, allows submicronic cantilevers, fabrmcated from tIse 3C-SiC thin filins, to resonate at ultra-high frequency (>100MHz). The high resonant frequency is the key to obtain s fast, ultra-sensitive non-contact AFM systein.However, there isn’t any SiC cantilevers available on the market because of the difficulty to elaborate gond quality 3C-SiC thin films, with tIse Chemical Vapor Deposition (CVD) technique being tIse most frequently used synthesis technology. Tise first reason of tIse difficulty with the CVD technology to obtain gond quality thin film rests essentially in the important lattice mismatch and the difference in thermal expansion coefficient existing between 3C SiC and Si which generate crystalline defects at the interface and propagating tilI the 3C-SiC filin surface, with the inost defective zone localizing near the interface……
APA, Harvard, Vancouver, ISO, and other styles
27

Bardhan, Abheek. "Integration of AlGaN with (111) Si Substrate by MOCVD." Thesis, 2017. http://etd.iisc.ac.in/handle/2005/4298.

Full text
Abstract:
AlGaN is an important semiconductor material for electronic and optoelectronic applications. The change in composition of AlGaN (AlN to GaN) provides a range of bandgaps extending from 6.01 eV, far ultraviolet, to 3.4 eV. This higher bandgap results in a higher breakdown voltage, than GaN one of the current materials of choice, in the devices made out of it. Carrier transport is also less sensitive to temperature variation. Hence, AlGaN with high Al fraction is a suitable candidate for power transistor technology. For optoelectronic applications like UV-photodetectors and UV-emitters, the full range of AlGaN provides the tunability in wavelength ranging from 206 nm (AlN) to 360 nm (GaN). As the solar spectrum ranges from about 250 nm to 2500 nm, AlGaN with high Al fraction is useful for solar-blind UV applications. AlGaN UV emitters on the other hand can be used in water purification. Till date all these developments have been carried out by growing AlGaNs on expensive substrates like SiC, sapphire or freestanding AlN. But the growth of AlGaN on Si (111) substrates are desirable as opposed to commonly used substrates such as sapphire, SiC or AlN owing to its higher thermal conductivity (except SiC), low cost and availability in large area. Integration with Si opens up the possibility to integrate the multifarious applications of AlGaN with the economic viability of Si (111) substrates. The present work focuses on the integration of AlGaN on Si (111) substrates by MOCVD. The bounds placed on the competing requirements composition, thickness, stress, defect density and surface roughness due to the physico-chemical aspects of AlGaN growth have been identified. Using such understanding an AlGaN/AlGaN high electron mobility transistor and a UV detector have been demonstrated.
APA, Harvard, Vancouver, ISO, and other styles
28

Chang, Chia-Chi, and 張家齊. "The physical properties of ZnO films deposited on (111)Si substrates." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/57816367210483405465.

Full text
Abstract:
碩士
國立中興大學
物理學系所
95
Investigation on the physical properties of ZnO films deposited on (111)Si substrates by atomic layer deposition. By using various experimental parameters, the ZnO will be optimization. In this epitaxial system, it uses the diethylzinc (DEZn) as II-groups precursors and nitrous oxide (N2O) as VI-groups precursors; Nitrogen (N2) is as the carrier gas and purge gas. The ZnO film is deposited on (111)Si substrates. Based upon θ-to-2θ X-ray diffraction measurements, the sizes of prefer-oriented grains were determined. Field emission scanning electron microscopy (FESEM) was also employed to reveal the surface morphology of the ZnO films. Room temperature (RT) and low temperature (LT) photoluminescence (PL) measurements conducted to investigate the optical properties of the films. Experimental results indicated that the as-grown ZnO film exhibited strong near band edge (NBE) PL emission when they were prepared at elevated temperatures, while the films showed uniform surface morphology when they were deposited at reduced temperatures. Using low temperature (LT) ZnO buffer layer helps to improve surface uniformity and optical properties of the ZnO films. Buffer-layer annealing was found to enable the formation of nano-rods, but post-annealing tends to enable the coalescence of ZnO nano-rods.
APA, Harvard, Vancouver, ISO, and other styles
29

Krzywiecki, Maciej. "Studies of CuPc ultra-thin layers deposited on Si(111) native substrates." Praca doktorska, 2010. http://ruj.uj.edu.pl/xmlui/handle/item/41644.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Shang, Kai-Po, and 商凱博. "Fabrication and Electrical Properties of Vertical InAs Nanowire FETs on Si (111) Substrates." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/gzuz88.

Full text
Abstract:
碩士
國立臺灣大學
電子工程學研究所
106
In this thesis, we have fabricated the vertical InAs nanowire (NW) field-effect transistors (FETs) and discussed the electrical properties. The InAs nanowires are grown along the [111] direction via molecular beam epitaxy (MBE) on a heavily doped Si(111) substrate. After MBE growth, we use atomic layer deposition (ALD) and sputter technology to form the gate oxide and gate metal stack. Then we adopt a low-k polymer Benzocyclobutene (BCB) as the planarization material and spacer layer. Subsequently, the BCB layer is etched back to the desired thickness by reactive ion etching (RIE) to expose the InAs NWs for metalization and define the gate length at the same time. Then, the top electrode is composed of 30nm Ti / 100nm Au by electron beam evaporation to cover the NW tips. Finally, we directly use the heavily doped Si substrate as the bottom contact to complete the fabrication of vertical InAs NW FETs. In the device process development, we also compare the results of using wet etching and dry etching technology to define the gate length. Devices fabricated by wet etching process exhibit large leakage current because of the damage to gate dielectric done by the wet-etched recipe. On the other hand, we successfully demonstrate vertical InAs NW FETs with I_ON/I_OFF above 10^3 and subthreshold swing (S.S.) of 172 mV/dec. by dry etching technology. Finally, we discuss how to modify processing technologies in order to improve the electrical properties of vertical InAs NW FETs in the future.
APA, Harvard, Vancouver, ISO, and other styles
31

JIANG, MING-CHIEN, and 江鳴謙. "Heteroepitaxial growth of GaN on (100) and (111)Si substrates by pulsed laser deposition." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/02985481165450241805.

Full text
Abstract:
碩士
國立中興大學
材料科學與工程學系所
102
In this study, the fabrication of hexagonal GaN on Si(100) and Si(111) templates via pulsed laser deposition (PLD) was employed in the development of GaN-on-Si technology. During the GaN growth process, the deposition conditions were modified to investigate the epilayer characteristics. The optimal GaN quality can be achieved by using the substrate temperature of 1000 ?C, the repetition rate of 5 Hz, and the chamber pressure of 3×10-3 torr. The full-width at half-maximum values for the XRD rocking curves of GaN(002) peak were measured to be 1.07? and 0.79?when the films were grown on Si(100) and Si(111) substrates, respectively. Meanwhile, the surface roughnesses of GaN on these two substrates were 17.7 and 14.3 nm, respectively. Furthermore, the growth mechanism of GaN grown on Si substrate with various growth times was established. With increasing the growth time up to 2 hours, the growth mode of GaN film gradually transformed from island growth to layer growth, resulting from the contributions of PLD growth principle and N2 plasma nitridation. Additionally, the high-temperature (1000?C) PLD process can effectively prevent the melt-back etching between Ga and Si in the GaN growth. Except for the Si(100) and Si(111) substrates, the GaN films were also deposited on the sapphire and GaN template fabricated by metalorganic chemical vapor deposition to compare the qualities of these GaN films. The results indicate that the crystal quality on Si substrate is worse than that on the other two substrates. In the PLD process, the GaN vapor was created as the laser pulse impacted the target, and the formation of GaN grains on substrate was generated via the reaction between the GaN vapor and N2 plasma. Due to the interdiffusion between these GaN grains at a high temperature, the GaN film can be formed. In comparison to the MOCVD technique, the residual stress in the GaN was reduced significantly by using PLD, which can efficiently prevent the crack formation. After the PLD growth for 4 hours, the 4-mm-thick GaN film can be achieved. Furthermore, based on the results, the melt-back etching phenomenon was also avoided via the PLD technique without introducing any interlayer or interruption layer. It reveals that several drawbacks in the GaN-on-Si process can be solved using the PLD technique proposed in this research.
APA, Harvard, Vancouver, ISO, and other styles
32

Hortamani, Mahboubeh [Verfasser]. "Theory of adsorption, diffusion and spinpolarization of Mn on Si(001) and Si(111) substrates / submitted by Mahboubeh Hortamani." 2006. http://d-nb.info/983531102/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Zang, Keyan, Soo-Jin Chua, and Carl V. Thompson. "The Effect of Periodic Silane Burst on the Properties of GaN on Si (111) Substrates." 2004. http://hdl.handle.net/1721.1/7362.

Full text
Abstract:
The periodic silane burst technique was employed during metalorganic chemical vapor deposition of epitaxial GaN on AlN buffer layers grown on Si (111). Periodic silicon delta doping during growth of both the AlN and GaN layers led to growth of GaN films with decreased tensile stresses and decreased threading dislocation densities, as well as films with improved quality as indicated by x-ray diffraction, micro-Raman spectroscopy, atomic force microscopy, and transmission electron microscopy. The possible mechanism of the reduction of tensile stress and the dislocation density is discussed in the paper.
Singapore-MIT Alliance (SMA)
APA, Harvard, Vancouver, ISO, and other styles
34

李安平. "Composite diamond-like carbon and silicon carbide tips grown on oblique-cut Si(111)substrates." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/45179074555485350556.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Saengkaew, Phannee [Verfasser]. "Epitaxial growth and properties of AlGaN-based UV-LEDs on Si(111) substrates / von Phannee Saengkaew." 2010. http://d-nb.info/100778833X/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Guan, Zhen-Zhong, and 官振中. "Study of GaN epilayer on Si(111) substrates with superlattices and low-growth-rate buffer layers." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/50078829200414300846.

Full text
Abstract:
碩士
國立彰化師範大學
光電科技研究所
97
In this thesis, the characteristics of GaN epilayers grown on Si(111) substrates were studied using two different buffer layers. One is to insert GaN/AlN superlattices before growing GaN epilayer. The other is to grown low-growth-rate (LGR) GaN buffer before growing GaN epilayer. This thesis has been divided into five chapters. In chapter one, properties of GaN-based compound materials were introduced. In chapter two, some literatures of GaN epilayer growth were reviewed. In chapter three, molecular beam epitaxy (MBE) system and experimental procedures were introduced. In chapter four, the effects of GaN/AlN superlattices and LGR GaN buffer layers on GaN epilayer characteristics were investigated and discussed. The analysis facilities include: scanning electron microscopy (SEM), X-Ray diffraction (XRD), atomic force microscope (AFM), photoluminescence (PL), and transmission electron microscopy (TEM). Regarding GaN/AlN superlattice buffers, different growth conditions have been studied including growth temperature, superlattice thickness, superlattice period and buffer layer structures grown before GaN/AlN superlattices. The growth temperature of superlattices was determined to be 750 ℃. The experiemtnal results also indicated GaN epilayers with thinner superlatices and more superlattice periods had better XRD full width at half maximum (FWHM). However, when the superlattices were too thin, nucleation in superlattices seemed incomplete which caused rough surface morphology. Moreover, inserting an AlGaN epi-layer with low Al composition or a GaN layer before growing GaN/AlN superlattice did not obtain better results. Optimization in growth parameters should be further investigated. Regarding LGR-GaN buffers, the effect of LGR-GaN thickness has been studied. When the growth time of LGR-GaN was 3 minutes, the XRD FWHMs was 0.29, which was the best value. Experimental results indicated that a thick LGR GaN was not beneficial to the surface morphology and XRD FWHM owing to the rough morphology of LGR GaN growth. Further study could be done by raising LGR-GaN growth temperature or changing LGR GaN growth rate.
APA, Harvard, Vancouver, ISO, and other styles
37

Lu, Han-Kai, and 呂翰凱. "Fabrication and Electrical Properties of Two-Terminal Devices Made of Vertical InAs Nanowires on Si (111) Substrates." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/k5v396.

Full text
Abstract:
碩士
國立臺灣大學
電子工程學研究所
105
In this thesis, we have fabricated the vertical InAs nanowire (NW) two-terminal devices. The nanowires were grown along the [111] direction via molecular beam epitaxy (MBE) on a heavily doped Si(111) substrate. After MBE growth, we adopted a low-k polymer Benzocyclobutene (BCB) as the planarization material and insulating layer between the two terminals to effectively encapsulate the NWs and make them stand vertically and firmly. Subsequently the BCB layer was etched back to the desired thickness by CHF3 reactive ion etching (RIE) to expose the InAs NW tips. Then, the top contact was defined by optical lithography and was composed of metal Ti/Au (30nm/80nm) by E-gun evaporation to cover the NW tips. Finally, we directly used the heavily doped Si substrate as the bottom contact to complete the fabrication of two-terminal NW devices. For improving the contact between the NWs and electrodes, we used NH4OH wet etching to remove the native oxide on NWs and carried out rapid thermal annealing (RTA) at 420℃ after contact metalization. In our experiment, we study the electrical characteristics for InAs NWs devices on n-type or p-type substrates. Devices on the n-type substrate exhibit low-resistance Ohmic contact which can be applied to the traditional metal-oxide-semiconductor field effect transistors (MOSFETs). On the other hand, devices on the p-type substrate form the tunnel diode and have the potential to be developed into vertical InAs NW tunnel FETs (TFETs) in the future.
APA, Harvard, Vancouver, ISO, and other styles
38

LEE, Ming-dar, and 李明達. "Fabrication and characterization of layered semiconductor GaSe thin films on chemically prepared Si(111) substrates by pulsed laser deposition." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/11834187483091774297.

Full text
Abstract:
碩士
國立交通大學
光電工程所
90
Layered semiconductor GaSe thin films are grown on pH-modified Si(111) substrates. AFM, XRD, SEM, TEM, Raman spectroscopy, GIXD, and EPMA are used to investigate the substrate preparation, growth temperature and phase transition, annealing effect, cross section, interface and stress as well as growth mode. We found that the initial SiO2/Si interface plays a key role in the monohydride passivation and atomically flat surface can extend the terrace region significantly. Based on the results of XRD, SEM, AFM, EPMA, Raman spectroscopy, and GIXD, we conclude that the proper growth temperatures should be around 400℃-450℃, and Ga2Se3 phase should exist at the interface only, and the obvious phase transition should be above 550℃. According to SEM section analysis, we know that the proper annealing temperature should be below 500℃. From AFM and EPMA, there are spiral features in the film surfaces, and the annealing effect should be time-limited in case of Se or GaSe re-evaporation. The structure of GaSe is layered from the direct evidence of TEM cross-section analysis and the thickness of monolayer is about 8Å. Based on Raman spectroscopy and GIXD, the stresses are released around 110nm under the conditions of laser fluence 0.75-1.5J/cm2 and repetition rate 4Hz. Finally, from SEM section analysis, we conclude that the growth mode of GaSe thin film should be SK.
APA, Harvard, Vancouver, ISO, and other styles
39

Wang, Jun-Hau, and 王均浩. "RF-Sputtering of ZnO thin films on Si(111) substrates : the effects of Al2O3 buffer layers and the pin diode formation." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/55985075837166149449.

Full text
Abstract:
碩士
國立中山大學
物理學系研究所
99
RF magnetron sputtering has been employed to deposit n-type epitaxial zinc oxide thin films on p-type silicon substrates to form p-n diode structures. Commonly found on silicon, native SiOx layers, typically of a few nanometer thick, would hinder the epitaxial growth of ZnO. In this thesis work, a crystalline metal oxide layer was introduced as a buffer layer by redox reaction between a metal layer and the native SiO2. Aluminum was first sputtered for 20 seconds (4 nm), 40 seconds (8 nm), 60 seconds (12 nm) to produce three sets of samples. Each set was then annealed in situ at 450℃ for 20 minutes, 40 minutes, 60 minutes, respectively, to generate 9 different fabrication conditions meant to ignite a redox reaction between aluminum and the silicon oxide. All samples were treated for comparison by rapid thermal annealing to 900℃, intended to improve the crystalline quality of the buffer layer and thus the epitaxial zinc oxide. Means to characterize the samples included (1) cross-sectional TEM (Transmission Electron Microscopy) observations of the interfaces and defects in various regions of the formed material or device structures; (2) x-ray crystallography via ω-2θ and rocking scans in regards to the perfection of the crystal structures and the relative film-substrate orientations; (3) photoluminescence spectroscopy, which showed oxygen deficiency in the ZnO epitaxial thin films as judged by the peaks of near-edge luminescence and mid-gap impurity states. The resulted material structure is a pin diode with a transparent n-ZnO layer sandwiching in the middle an aluminum oxide insulating layer with the p-Si substrate. The electrically insulating aluminum oxide layer serves to increase the minority carrier accumulation effect, extending carriers’ effective life times and hence enhancing the light emission efficiency. Measuring the current-voltage characteristics of the pin device structures provides insights into the interface charges, while high-frequency capacitance-voltage curves helps give a glimpse of the interfaces between ZnO and Al2O3 or AlOx, as well as those between Al2O3 or AlOx and silicon, all concerning the electronic accumulations at each interface. Keywords: sputtering, ZnO, Al2O3 , pin diode.
APA, Harvard, Vancouver, ISO, and other styles
40

Wu, Chun Hung, and 吳濬宏. "Selective Area Growth of GaN Nanowire Array Embedded with Ga(In)N Quantum Boxes on Si(111) Substrates Using Molecular Beam Epitaxy." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/4jkdvv.

Full text
Abstract:
博士
國立清華大學
電子工程研究所
105
In this study, the selective area growth (SAG) of high-density (2.5×109 cm-2) GaN nanowires (NWs) embedded with Ga(In)N quantum boxes (QBs) on Si(111) substrate by plasma-assisted molecular beam epitaxy are demonstrated. At first, substrates with various masking materials are prepared for SAG GaN growth: TiN nanoholes and AlN nanopedestal array are chosen as SAG substrates for their ideal NW growth selectivity. However, TiN nanoholes failed to provide adequate NW growth selectivity due to titled NWs while growing on Si surface. Thus, AlN seeding layers are used in this study. Next, effects of morphology and thickness of the AlN seeding layer on the quality of SAG GaN NWs are investigated. A thin and smooth AlN seeding layer without forming Al droplets on the surface is achieved by grading the Al flux and growth temperature from low to high during the growth. High-density AlN nanopedestal arrays used as seeds for SAG GaN NWs are fabricated from thin AlN seeding layers using the soft nanoimprint lithography. By adjusting the growth temperature and Ga/N flux ratio, hexagonal shaped SAG GaN NWs are realized. The quality of SAG GaN NWs is evaluated using low temperature photoluminescence (PL) measurements. Three major PL peaks at 3.47, 3.45, and 3.41 eV are detected and identified. The peak at 3.471 eV is related to the neutral donor-bound exciton emission, and the 3.41 eV broadband emission is attributed to stacking faults or structural defects. The 3.45 eV peak is identified as the emission due to exciton recombination at polar inversion domain boundaries of NWs. Finally, Ga(In)N QBs embedded in GaN NWs are grown. The full visible spectrum emission can be realized by tuning In contents of QBs through varying growth temperature of Ga(In)N layers.
APA, Harvard, Vancouver, ISO, and other styles
41

Tauchnitz, Tina. "Novel Methods for Controlled Self-Catalyzed Growth of GaAs Nanowires and GaAs/AlxGa1-xAs Axial Nanowire Heterostructures on Si Substrates by Molecular Beam Epitaxy." 2019. https://tud.qucosa.de/id/qucosa%3A38708.

Full text
Abstract:
GaAs-based nanowires are attractive building blocks for the development of future (opto)electronic devices owing to their excellent intrinsic material properties, such as the direct band gap and high electron mobility. A pre-requisite for the implementation of novel functionalities on a single Si chip is the monolithic integration of the nanowires on the well-established Si complementary-metal-oxide-semiconductor (CMOS) platform with precise control of the nanowire growth process. The self-catalyzed (Ga-assisted) growth of GaAs nanowires on Si(111) substrates using molecular beam epitaxy has offered the possibility to obtain vertical nanowires with predominant zinc blende structure, while potential contamination by external catalysts like Au is eliminated. Although the growth mechanism is fairly well understood, control of the nucleation stage, the nanowire number density and the crystal structure has been proven rather challenging. Moreover, conventional growth processes are typically performed at relatively high substrate temperatures in the range of 560-630 °C, which limit their application to the industrial Si platform. This thesis provides two original methods in order to tackle the aforementioned challenges in the conventional growth processes. In the first part of this thesis, a simple surface modification procedure (SMP) for the in situ preparation of native-SiOx/Si(111) substrates has been developed. Using a pre-growth treatment of the substrates with Ga droplets and two annealing cycles, the SMP enables highly synchronized nucleation of all nanowires on their substrate and thus, the growth of exceptionally uniform GaAs nanowire ensembles with sub-Poissonian length distributions. Moreover, the nanowire number density can be tuned within three orders of magnitude and independent of the nanowire dimensions without prior ex situ patterning of the substrate. This work delivers a fundamental understanding of the nucleation kinetics of Ga droplets on native-SiOx and their interaction with SiOx, and confirms theoretical predictions about the so-called nucleation antibunching, the temporal anti-correlation of consecutive nucleation events. In the second part of this thesis, an alternative method called droplet-confined alternate-pulsed epitaxy (DCAPE) for the self-catalyzed growth of GaAs nanowires and GaAs/AlxGa1-xAs axial nanowire heterostructures has been developed. DCAPE enables nanowire growth at unconventional, low temperatures in the range of 450-550 °C and is compatible with the standard Si-CMOS platform. The novel growth approach allows one to precisely control the crystal structure of the nanowires and, thus, to produce defect-free pure zinc blende GaAs-based nanowires. The strength of DCAPE is further highlighted by the controlled growth of GaAs/AlxGa1-xAs axial quantum well nanowires with abrupt interfaces and tunable thickness and Al-content of the AlxGa1-xAs sections. The GaAs/AlxGa1-xAs axial nanowire heterostructures are interesting for applications as single photon emitters with tunable emission wavelength, when they are overgrown with thick lattice-mismatched InxAl1-xAs layers in a core-shell fashion. All results presented in this thesis contribute to paving the way for a successful monolithic integration of highly uniform GaAs-based nanowires with controlled number density, dimensions and crystal structure on the mature Si platform.
GaAs-basierte Nanodrähte sind attraktive Bausteine für die Entwicklung von zukünftigen (opto)elektronischen Bauelementen dank ihrer exzellenten intrinsischen Materialeigenschaften wie zum Beispiel die direkte Bandlücke und die hohe Elektronenbeweglichkeit. Eine Voraussetzung für die Realisierung neuer Funktionalitäten auf einem einzelnen Si Chip ist die monolithische Integration der Nanodrähte auf der etablierten Si-Metall-Oxid-Halbleiter-Plattform (CMOS) mit präziser Kontrolle des Wachstumsprozesses der Nanodrähte. Das selbstkatalytische (Ga-unterstützte) Wachstum von GaAs Nanodrähten auf Si(111)-Substrat mittels Molekularstrahlepitaxie bietet die Möglichkeit vertikale Nanodrähte mit vorwiegend Zinkblende-Struktur herzustellen, während die potentielle Verunreinigung der Nanodrähte und des Substrats durch externe Katalysatoren wie Au vermieden wird. Obwohl der Wachstumsmechanismus gut verstanden ist, erweist sich die Kontrolle der Nukleationsphase, Anzahldichte und Kristallstruktur der Nanodrähte als sehr schwierig. Darüber hinaus sind relativ hohe Temperaturen im Bereich von 560-630 °C in konventionellen Wachstumsprozessen notwendig, die deren Anwendung auf der industriellen Si Plattform begrenzen. Die vorliegende Arbeit liefert zwei originelle Methoden um die bestehenden Herausforderungen in konventionellen Wachstumsprozessen zu bewältigen. Im ersten Teil dieser Arbeit wurde eine einfache Prozedur, bezeichnet als surface modification procedure (SMP), für die in situ Vorbehandlung von nativem-SiOx/Si(111)-Substrat entwickelt. Die Substratvorbehandlung mit Ga-Tröpfchen und zwei Hochtemperaturschritten vor dem Wachstumsprozess ermöglicht eine synchronisierte Nukleation aller Nanodrähte auf ihrem Substrat und folglich das Wachstum von sehr gleichförmigen GaAs Nanodraht-Ensembles mit einer sub-Poisson Verteilung der Nanodrahtlängen. Des Weiteren kann die Anzahldichte der Nanodrähte unabhängig von deren Abmessungen und ohne ex situ Vorstrukturierung des Substrats über drei Größenordnungen eingestellt werden. Diese Arbeit liefert außerdem ein grundlegendes Verständnis zur Nukleationskinetik von Ga-Tröpfchen auf nativem-SiOx und deren Wechselwirkung mit SiOx und bestätigt theoretische Voraussagen zum sogenannten Nukleations-Antibunching, dem Auftreten einer zeitlichen Anti-Korrelation aufeinanderfolgender Nukleationsereignisse. Im zweiten Teil dieser Arbeit wurde eine alternative Methode, bezeichnet als droplet-confined alternate-pulsed epitaxy (DCAPE), für das selbstkatalytische Wachstum von GaAs Nanodrähten und GaAs/AlxGa1-xAs axialen Nanodraht-Heterostrukturen entwickelt. DCAPE ermöglicht das Nanodrahtwachstum bei unkonventionell geringeren Temperaturen im Bereich von 450-550 °C und ist vollständig kompatibel mit der Standard-Si-CMOS-Plattform. Der neue Wachstumsansatz erlaubt eine präzise Kontrolle der Kristallstruktur der Nanodrähte und folglich das Wachstum von defektfreien Nanodrähten mit phasenreiner Zinkblende-Struktur. Die Stärke der DCAPE Methode wird des Weiteren durch das kontrollierte Wachstum von GaAs/AlxGa1-xAs axialen Quantentopf-Nanodrähten mit abrupten Grenzflächen und einstellbarer Dicke und Al-Anteil der AlxGa1-xAs-Segmente aufgezeigt. Die GaAs/AlxGa1-xAs axialen Nanodraht-Heterostrukturen sind interessant für den Einsatz als Einzelphotonen-Emitter mit einstellbarer Emissionswellenlänge, wenn diese mit gitterfehlangepassten InxAl1-xAs-Schichten in einer Kern-Hülle-Konfiguration überwachsen werden. Alle Ergebnisse dieser Arbeit tragen dazu bei, den Weg für eine erfolgreiche monolithische Integration von sehr gleichförmigen GaAs-basierten Nanodrähten mit kontrollierbarer Anzahldichte, Abmessungen und Kristallstruktur auf der industriell etablierten Si-Plattform zu ebnen.
APA, Harvard, Vancouver, ISO, and other styles
42

Tsao, Kai-Yang, and 曹凱揚. "High Strength Si(111) Substrate with Poly-Si/α-Si Sealing Nanotexture for GaN." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/x7thcw.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Kuo, H. W., and 郭曉文. "Epitaxial Growth of TiN Thin Film On Si(111) Substrate." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/91867474684835371848.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Tsai, Ching Fu, and 蔡清富. "Study and design Nitride-based Structure Growth on Si(111) Substrate." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/64964724509482035559.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Pan, Lung Huei, and 潘龍輝. "The Sputtered Ti film on Si(111) Substrate after Rapid Thermal Annealing." Thesis, 1995. http://ndltd.ncl.edu.tw/handle/11037641237409922744.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Chen, Bo-Chih, and 陳博治. "Electronic characteristics of defects of GaN films grown on Si(111) substrate." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/8enb46.

Full text
Abstract:
碩士
國立中山大學
物理學系研究所
97
The electronic properties of the defects of the GaN/Si(111) system has been successfully measured by STM in the work. Different types of the dislocations in GaN films, such as edge dislocations and screw dislocations, have been observed. Defects induce the change of the band gap from 3.4 eV to 2.2 eV. The characteristic scattering length of the edge dislocation is around 25 nm.
APA, Harvard, Vancouver, ISO, and other styles
47

Wu, Jian-Hua, and 伍建華. "Optical-electric and magnetic properties of C84 molecules embedded in Si(111) substrate." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/03432222294706821439.

Full text
Abstract:
碩士
國立中興大學
物理學系所
102
In this study, it evaporated C84 molecules on Si(111) substrate in ultra-high vacuum(UHV) environment. By the mechanism of self-assembling, controlling the temperature of substrate, the C84 molecules was able to embedded in S(111) substrate. We used the ultrahigh vacuum scanning tunneling microscopy to observe the topography of the C84 embedded in structure after different annealing temperature. To use the Photoluminescence(PL) at 13K, 100K, 200K, and 300K to analysis the optical-electric properties. The result shows that because of the quantum confinement effect, the substrates are provided with wide band gap and emission characteristics of blue-violet light. Using superconducting quantum interference device to measure the magnetic characteristic, the sample was measured hysteresis curve at both low and room temperature. And the magnetic intensity keep invariant with temperature raise from 5k to 300k, proved that the C84 molecules island structure combine with Si(111) surface have ferromagnetic property.
APA, Harvard, Vancouver, ISO, and other styles
48

Huang, Kuo-You, and 黃國祐. "The optoelectronic, magnetic and mechanical properties of C84 molecule embedded Si (111) substrate." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/07372125431514696519.

Full text
Abstract:
碩士
國立中興大學
物理學系所
100
In this study, the control mechanism to create self-assembled monolayer and multilayer C84 molecules embedded in Si (111) surface of the substrate in ultra-high vacuum chamber . We used the ultra-high vacuum scanning probe microscopy to observe the surface morphology of C84 molecules embedded Si (111) , and measure the current - voltage curve to calculate the size of the band gap. The use of Photoluminescence, at room temperature and 77K are the analysis of this substrate under the optical and electrical properties. The results shows the self-assembly of C84 molecules embedded in silicon (111) surface of the substrate with a wide band gap and emission characteristics of blue-violet light by quantum confinement effect. After using UHV-atomic force microscope to measure the stiffness and adhesion force of different sample surface, we measured the mechanical properties of samples with different probes and compared the differences of using different probes. We find that the stiffness magnitude is C84 overlayer>Si(111)-7x7>Si disorder no matter what the cantilever is. And the adhesion force is opposite. We used the Superconducting Quantum Interference Device to measure the magnetic property. However the signal is very weak because of the size of the substrate is larger than the interface layer. Grounding the sample might enhance the signal because the effect of substrate can be remove. To sum up, a material with a wide band gap and emission characteristics of blue-violet light by controlling mechanism to create self-assembled monolayer and multilayer C84 molecules embedded in Si (111) surface of the substrate in ultra-high vacuum chamber and the stiffness of the sample surface will rise, too.
APA, Harvard, Vancouver, ISO, and other styles
49

Liu, Chia-Wei, and 劉家維. "Characterizations of Ge films grown on Si(111) substrate by liquid phase epitaxy." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/56793408677659624472.

Full text
Abstract:
碩士
中原大學
電子工程研究所
105
The heteroepitaxial growth of germanium (Ge) on silicon (Si) substrate was conducted using liquid phase epitaxy (LPE). As known, a limitation of lattice mismatch for the epitaxial growth by LPE was reported to be 1%, while that between Ge and Si is high to the degree of 4.1% and therefore the direct growth of Ge on Si substrate is almost impossible for LPE. However, in the present study a self-organized Si1-xGex film growth with a grading composition has been initiated on the Si(111) substrate and which consequently made possible the fabrication of Ge top film on Si(111) substrate by LPE. The quality of Ge films fabricated was characterized by X-ray diffraction, Raman scattering, scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), and transmission electron microscopy (TEM). It is found that the use of tin (Sn) as the solvent and the commencement of LPE at 950°C are important origins to induce the growth of compositionally graded Si1-xGex layer. After the growth of a Si1-xGex layer with tardy grading in Ge composition from 10% to 15% within a layer thickness ranging from 20 to 35 μm, a prompt crossover for Ge and Si composition distributions occurred by ramping the temperature to lower than 510°C. This transition favored for the further growth of Ge epilayers with their thickness generally in the range of several μm. Raman scattering analyses recognized the tensile strain and high compositions of Ge of the Si1-xGex layers obtained. In addition, the TEM cross-sectional images indicated noteworthy the bending behavior of dislocations and a dislocation density as low as 1.2×〖10〗^5cm-2 was achieved for the Ge top layer grown on Si(111) substrate.
APA, Harvard, Vancouver, ISO, and other styles
50

Chen, Ying-Chen, and 陳盈臻. "Performance of Vertical Gate-All-Around Si (110) and Si (551) MOSFET on Si(100) Substrate." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/46d89d.

Full text
Abstract:
碩士
國立交通大學
電子工程學系 電子研究所
101
Scaling beyond Moore’s Law has faced a big challenge due to the lithography limitation beyond the 10nm node. Several issues, such as device structures, channel materials, interface quality, capacitance and contact resistance, have been studied for beyond 14 nm logic applications. Nanowire gate-all-around (GAA) structures are promising candidates due to their high gate-controlled ability, layout area reduction, speed improvement and low power consumption, and have been investigated and applied to Si or III-V based MOSFETs to enable further scaling. Si-based GAA nanowire FETs reported so far didn’t show the “balanced” performance, which means the hole mobility is still quite lower than electron mobility. However, T. Ohmi et. al. have reported a performance balanced CMOS on Si-based MOSFET and excellent surface stability by using Si (551) orientated substrate.. In this thesis, 3D GAA vertical Si (551) Fin type MOSFET transport to <100> direction on (100) Substrate have been proposed by professor Chang and simulated to study the basic device and circuit electrical characteristics.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography