Journal articles on the topic 'Semiconductor metal interface'

To see the other types of publications on this topic, follow the link: Semiconductor metal interface.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Semiconductor metal interface.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

FLORES, F. "ALKALI-ATOM ADSORPTION ON SEMICONDUCTOR SURFACES: METALLIZATION AND SCHOTTKY-BARRIER FORMATION." Surface Review and Letters 02, no. 04 (August 1995): 513–37. http://dx.doi.org/10.1142/s0218625x95000480.

Full text
Abstract:
Alkali metals deposited on weakly ionic semiconductors are neither reactive nor form large three-dimensional islands, offering an ideal system in which Schottky junctions can be analyzed. In this paper, the alkali-metal-semiconductor interface is reviewed with a special emphasis on the formation of the Schottky barrier. Two regimes are clearly differentiated for the deposition of AMs on a semiconductor: in the high-coverage limit the Schottky barrier is shown to depend, for not very defective interfaces, on the semiconductor charge neutrality level. For low coverages, different one- and two-dimensional structures appear on the semiconductor surface presenting an insulating behavior. For depositions around a metal monolayer, a Mott metal-insulator transition appears; then, the interface Fermi energy is pinned by the metallic density of states at the position determined by the semiconductor charge neutrality level. This situation defines the Schottky barrier height of a thick-metal overlayer.
APA, Harvard, Vancouver, ISO, and other styles
2

Zhang, Mingrui, Mitchell Adkins, and Zhe Wang. "Recent Progress on Semiconductor-Interface Facing Clinical Biosensing." Sensors 21, no. 10 (May 16, 2021): 3467. http://dx.doi.org/10.3390/s21103467.

Full text
Abstract:
Semiconductor (SC)-based field-effect transistors (FETs) have been demonstrated as amazing enhancer gadgets due to their delicate interface towards surface adsorption. This leads to their application as sensors and biosensors. Additionally, the semiconductor material has enormous recognizable fixation extends, high affectability, high consistency for solid detecting, and the ability to coordinate with other microfluidic gatherings. This review focused on current progress on the semiconductor-interfaced FET biosensor through the fundamental interface structure of sensor design, including inorganic semiconductor/aqueous interface, photoelectrochemical interface, nano-optical interface, and metal-assisted interface. The works that also point to a further advancement for the trademark properties mentioned have been reviewed here. The emergence of research on the organic semiconductor interface, integrated biosensors with Complementary metal–oxide–semiconductor (CMOS)-compatible, metal-organic frameworks, has accelerated the practical application of biosensors. Through a solid request for research along with sensor application, it will have the option to move forward the innovative sensor with the extraordinary semiconductor interface structure.
APA, Harvard, Vancouver, ISO, and other styles
3

HINDMARCH, AIDAN T. "INTERFACE MAGNETISM IN FERROMAGNETIC METAL–COMPOUND SEMICONDUCTOR HYBRID STRUCTURES." SPIN 01, no. 01 (June 2011): 45–69. http://dx.doi.org/10.1142/s2010324711000069.

Full text
Abstract:
Interfaces between dissimilar materials present a wide range of fascinating physical phenomena. When a nanoscale thin-film of a ferromagnetic metal is deposited in intimate contact with a compound semiconductor, the properties of the interface exhibit a wealth of novel behavior, having immense potential for technological application, and being of great interest from the perspective of fundamental physics. This article presents a review of recent advances in the field of interface magnetism in (001)-oriented ferromagnetic metal/III–V compound semiconductor hybrid structures. Until relatively recently, the majority of research in this area continued to concentrate almost exclusively on the prototypical epitaxial Fe / GaAs (001) system: now, a significant proportion of work has branched out from this theme, including ferromagnetic metal alloys, and other III–V compound semiconductors. After a general overview of the topic, and a review of the more recent literature, we discuss recent results where advances have been made in our understanding of the physics underpinning magnetic anisotropy in these systems: tailoring the terms contributing to the angular-dependent free-energy density by employing novel fabrication methods and ferromagnetic metal electrodes.
APA, Harvard, Vancouver, ISO, and other styles
4

Kim, H., K. Okuno, and T. Sakurai. "METAL-SEMICONDUCTOR INTERFACE (Al-Si)." Le Journal de Physique Colloques 48, no. C6 (November 1987): C6–469—C6–472. http://dx.doi.org/10.1051/jphyscol:1987677.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Sinclair, Robert. "Reactions at metal-semiconductor interfaces." Proceedings, annual meeting, Electron Microscopy Society of America 47 (August 6, 1989): 448–49. http://dx.doi.org/10.1017/s0424820100154214.

Full text
Abstract:
Examination of the architecture of a semiconductor-based microelectronics device shows that metallic, highly conductive components are an integral part of the miniature circuits. As geometries become increasingly small (e.g. at the sub-micron level) the structure at critical interfaces influences the electrical performance to a greater extent. Accordingly metal-semiconductor junctions have significant technological importance, in addition to any natural scientific interest associated with the bonding of two unlike materials. This article reviews some of our recent work on this topic, with particular emphasis on the reactions which can occur either during fabrication of the interface or upon heating in conjunction with device processing or prolonged service.The simplest system consists of an elemental metal and an elemental semiconductor, silicon being the most important example of the latter. Consideration of phase equilibria indicates that such an interface is thermodynamically unstable: upon heating either a reaction can occur to produce a compound phase (i.e. a silicide), or mutual dissolution of the elements within each other takes place to achieve saturated solid solution compositions. Reference to the appropriate binary phase diagram allows prediction of the result if local equilibrium is achieved. Thus although an atomically abrupt metal-semiconductor interface might be grown under specialized circumstances, this situation can be expected to be unusual and moreover it is not stable to elevated temperatures when atomic mobility and diffusion are rapid.
APA, Harvard, Vancouver, ISO, and other styles
6

Hatta, Hideyuki, Yuhi Miyagawa, Takashi Nagase, Takashi Kobayashi, Takashi Hamada, Shuichi Murakami, Kimihiro Matsukawa, and Hiroyoshi Naito. "Determination of Interface-State Distributions in Polymer-Based Metal-Insulator-Semiconductor Capacitors by Impedance Spectroscopy." Applied Sciences 8, no. 9 (August 29, 2018): 1493. http://dx.doi.org/10.3390/app8091493.

Full text
Abstract:
Information on localized states at the interfaces of solution-processed organic semiconductors and polymer gate insulators is critical to the development of printable organic field-effect transistors (OFETs) with good electrical performance. This paper reports on the use of impedance spectroscopy to determine the energy distribution of the density of interface states in organic metal-insulator-semiconductor (MIS) capacitors based on poly(3-hexylthiophene) (P3HT) with three different polymer gate insulators, including polyimide, poly(4-vinylphenol), and poly(methylsilsesquioxane). The findings of the study indicate that the impedance characteristics of the P3HT MIS capacitors are strongly affected by patterning and thermal annealing of the organic semiconductor films. To extract the interface-state distributions from the conductance of the P3HT MIS capacitors, an equivalent circuit model with continuum trap states is used, which also takes the band-bending fluctuations into consideration. In addition, the relationship between the determined interface states and the electrical characteristics of P3HT-based OFETs is investigated.
APA, Harvard, Vancouver, ISO, and other styles
7

Cao, Zhen, Moussab Harb, Sergey M. Kozlov, and Luigi Cavallo. "Structural and Electronic Effects at the Interface between Transition Metal Dichalcogenide Monolayers (MoS2, WSe2, and Their Lateral Heterojunctions) and Liquid Water." International Journal of Molecular Sciences 23, no. 19 (October 7, 2022): 11926. http://dx.doi.org/10.3390/ijms231911926.

Full text
Abstract:
Transition metal dichalcogenides (TMDCs) can be used as optical energy conversion materials to catalyze the water splitting reaction. A good catalytical performance requires: (i) well-matched semiconductor bandgaps and water redox potential for fluent energy transfer; and (ii) optimal orientation of the water molecules at the interface for kinetically fast chemical reactions. Interactions at the solid–liquid interface can have an important impact on these two factors; most theoretical studies have employed semiconductor-in-vacuum models. In this work, we explored the interface formed by liquid water and different types of TMDCs monolayers (MoS2, WSe2, and their lateral heterojunctions), using a combined molecular dynamics (MD) and density functional theory (DFT) approach. The strong interactions between water and these semiconductors confined the adsorbed water layer presenting structural patterns, with the water molecules well connected to the bulk water through the hydrogen bonding network. Structural fluctuations in the metal chalcogenide bonds during the MD simulations resulted in a 0.2 eV reduction of the band gap of the TMDCs. The results suggest that when designing new TMDC semiconductors, both the surface hydrophobicity and the variation of the bandgaps originating from the water-semiconductor interface, need to be considered.
APA, Harvard, Vancouver, ISO, and other styles
8

Hersam, M. C., and R. G. Reifenberger. "Charge Transport through Molecular Junctions." MRS Bulletin 29, no. 6 (June 2004): 385–90. http://dx.doi.org/10.1557/mrs2004.120.

Full text
Abstract:
AbstractIn conventional solid-state electronic devices, junctions and interfaces play a significant if not dominant role in controlling charge transport. Although the emerging field of molecular electronics often focuses on the properties of the molecule in the design and understanding of device behavior, the effects of interfaces and junctions are often of comparable importance. This article explores recent work in the study of metal–molecule–metal and semiconductor–molecule–metal junctions. Specific issues include the mixing of discrete molecular levels with the metal continuum, charge transfer between molecules and semiconductors, electron-stimulated desorption, and resonant tunneling. By acknowledging the consequences of junction/interface effects, realistic prospects and limitations can be identified for molecular electronic devices.
APA, Harvard, Vancouver, ISO, and other styles
9

Wu, Xu, and Edward S. Yang. "Interface capacitance in metal‐semiconductor junctions." Journal of Applied Physics 65, no. 9 (May 1989): 3560–67. http://dx.doi.org/10.1063/1.342631.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

HASEGAWA, HIDEKI. "MICROSCOPIC UNDERSTANDING AND CONTROL OF SURFACES AND INTERFACES OF COMPOUND SEMICONDUCTORS FOR MESOSCOPIC DEVICES." Surface Review and Letters 07, no. 05n06 (October 2000): 583–88. http://dx.doi.org/10.1142/s0218625x0000066x.

Full text
Abstract:
Microscopic properties of free surfaces and metal–semiconductor interfaces related to successful realization of mesoscopic devices are discussed for III–V compound semiconductors, with a particular emphasis on Fermi level pinning. Surface states causing pinning are present even on freshly MBE-grown clean (001) and (110) surfaces with well-defined surface structures. Scanning tunneling spectroscopy (STS) measurement gives anomalous spectra with large conductance gaps, and this can be explained by tip-induced local charging of surface states. Pinning on free surfaces can be considerably suppressed by a surface passivation using an ultrathin MBE-grown silicon interface control layer (Si ICL). In mesoscopic scale metal–semiconductor contacts, Fermi level pinning underneath the metal contact itself is remarkably reduced with the use of the optimum in situ electrochemical metal deposition. However, Fermi level pinning on the surrounding free surfaces has large effects on current transport and capacitance properties in such contacts.
APA, Harvard, Vancouver, ISO, and other styles
11

Wang, Jingang, Naixing Feng, Ying Sun, and Xijiao Mu. "Nanoplasmon–Semiconductor Hybrid for Interface Catalysis." Catalysts 8, no. 10 (September 29, 2018): 429. http://dx.doi.org/10.3390/catal8100429.

Full text
Abstract:
We firstly, in this review, introduce the optical properties of plasmonic metals, and then focus on introducing the unique optical properties of the noble metal–metal-oxide hybrid system by revealing the physical mechanism of plasmon–exciton interaction, which was confirmed by theoretical calculations and experimental investigations. With this noble metal–metal-oxide hybrid system, plasmonic nanostructure–semiconductor exciton coupling interactions for interface catalysis has been analyzed in detail. This review can provide a deeper understanding of the physical mechanism of exciton–plasmon interactions in surface catalysis reactions.
APA, Harvard, Vancouver, ISO, and other styles
12

Mönch, Winfried. "Electronic properties of ideal and interface-modified metal-semiconductor interfaces." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 14, no. 4 (July 1996): 2985. http://dx.doi.org/10.1116/1.588947.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Carter, C. Barry, Jane G. Zhu, and C. J. Palmstrøm. "Metal/GaAs interfaces." Proceedings, annual meeting, Electron Microscopy Society of America 47 (August 6, 1989): 446–47. http://dx.doi.org/10.1017/s0424820100154202.

Full text
Abstract:
Reactions between III-V compound semiconductors and metals occur during the formation of Ohmic or Schottky contacts for semiconductor devices. Since the products of such reactions usually have crystal structures different from the zinc-blende structure of GaAs, it is necessary to understand the structure of the metal/GaAs interface in order to optimize the quality of the contact. At low temperatures, ternary phases given of the form, MxGaAs, grow during the metal-GaAs reactions. Well-documented examples are given by Ni-GaAs and Co-GaAs reactions. These ternary phases are thermodynamically unstable and decompose to MyGa and MzAs at higher temperatures. For contacts involving rare-earth metals, such as Er, Tb and Dy, on GaAs, a substantial interface chemical reaction has been found in which GaAs is dissociated and a more than 12Å-thick rare-earth arsenide interfacial layer is formed. In order to improve the reliability of these contacts and to control the reactions which take place at the GaAs interface, intermetallic compounds, NiAl and CoGa, and rare-earth metal arsenides, YbAs and ErAs, have recently been grown epitactically on GaAs. The work described here has concentrated on the characterization of the compound/GaAs interfaces formed during this process, paying particular attention to the structure of the misfit dislocations present at the interface. An additional advantage of this approach is that, if the quality of the contact layer is sufficiently high, it might be possible to grow a second high-quality layer of GaAs on top and thus begin to generate a 3-dimensional structure.
APA, Harvard, Vancouver, ISO, and other styles
14

Irokawa, Yoshihiro. "Characterization of the Metal-Semiconductor Interface of Pt-GaN Diode Hydrogen Sensors." Materials Science Forum 740-742 (January 2013): 473–76. http://dx.doi.org/10.4028/www.scientific.net/msf.740-742.473.

Full text
Abstract:
In this paper, interaction mechanism of hydrogen with GaN metal-insulator-semiconductor (MIS) diodes has been investigated, focusing on the metal/semiconductor interfaces. As a result, the following three points are revealed: First, MIS Pt-SiO2-GaN diodes show a marked improvement in detection sensitivity, suggesting that the device interface plays a critical role in sensing. Second, exposure of the diodes to hydrogen is found to change the conduction mechanisms from Fowler-Nordheim tunneling to Pool-Frenkel emission. Third, interface trap level density of the diodes is found to be reduced by hydrogen exposure even at room temperature. These results support the validity of the hydrogen-induced dipole layer model.
APA, Harvard, Vancouver, ISO, and other styles
15

Wu, Ping, and Yingzhi Zeng. "Quantifying the relationship between interface chemistry and metal electronegativity of metal–semiconductor interfaces." Journal of Materials Chemistry 20, no. 46 (2010): 10345. http://dx.doi.org/10.1039/c0jm01731k.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Nishimura, Tomonori, Takeaki Yajima, and Akira Toriumi. "Reconsideration of Metal Work Function at Metal/Semiconductor Interface." ECS Transactions 80, no. 4 (August 1, 2017): 107–12. http://dx.doi.org/10.1149/08004.0107ecst.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Kim, Heeyoung, Ye Ji Kim, Yeon Sik Jung, and Jeong Young Park. "Enhanced flux of chemically induced hot electrons on a Pt nanowire/Si nanodiode during decomposition of hydrogen peroxide." Nanoscale Advances 2, no. 10 (2020): 4410–16. http://dx.doi.org/10.1039/d0na00602e.

Full text
Abstract:
To investigate the charge transfer at the metal–semiconductor interface, novel Pt nanowires/Si nanodiodes were fabricated. By detecting hot electrons during H2O2 decomposition, higher transmission probability for charge transport through metal–oxide interfaces was observed.
APA, Harvard, Vancouver, ISO, and other styles
18

Chiou, Y. Z., C. H. Chen, Y. K. Su, and S. J. Chang. "GaN metal–semiconductor interface and its applications in GaN and InGaN metal–semiconductor–metal photodetectors." IEE Proceedings - Optoelectronics 150, no. 2 (April 1, 2003): 115–18. http://dx.doi.org/10.1049/ip-opt:20030371.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Bista, Dinesh, Turbasu Sengupta, and Shiv N. Khanna. "Massive dipoles across the metal–semiconductor cluster interface: towards chemically controlled rectification." Physical Chemistry Chemical Physics 23, no. 34 (2021): 18975–82. http://dx.doi.org/10.1039/d1cp02420e.

Full text
Abstract:
An interface between a metallic cluster (MgAl12) and a semiconducting cluster (Re6Se8(PMe3)5) is shown to be marked by a massive dipole reminiscent of a dipolar layer leading to a Schottky barrier at metal–semiconductor interfaces.
APA, Harvard, Vancouver, ISO, and other styles
20

Kubby, J. A., and W. J. Greene. "Electron interferometry at a metal-semiconductor interface." Physical Review Letters 68, no. 3 (January 20, 1992): 329–32. http://dx.doi.org/10.1103/physrevlett.68.329.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Tan, Shijing, Adam Argondizzo, Jindong Ren, Liming Liu, Jin Zhao, and Hrvoje Petek. "Plasmonic coupling at a metal/semiconductor interface." Nature Photonics 11, no. 12 (November 30, 2017): 806–12. http://dx.doi.org/10.1038/s41566-017-0049-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Brillson, L. J., R. E. Viturro, J. L. Shaw, and H. W. Richter. "Cathodoluminescence spectroscopy of metal–semiconductor interface structures." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 6, no. 3 (May 1988): 1437–45. http://dx.doi.org/10.1116/1.575722.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Bulat, L. P., I. A. Erofeeva, Yu V. Vorobiev, and J. González-Hernández. "Metal–semiconductor interface in extreme temperature conditions." Applied Surface Science 255, no. 3 (November 2008): 659–61. http://dx.doi.org/10.1016/j.apsusc.2008.07.007.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Brillson, L. J., S. Chang, J. Shaw, and R. E. Viturro. "Interface states at metal-compound semiconductor junctions." Vacuum 41, no. 4-6 (January 1990): 1016–20. http://dx.doi.org/10.1016/0042-207x(90)93849-e.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Itkis, M. E., F. Ya Nad', P. Monceau, and M. Renard. "Metal-one-dimensional Peierls semiconductor interface phenomena." Journal of Physics: Condensed Matter 5, no. 27 (July 5, 1993): 4631–40. http://dx.doi.org/10.1088/0953-8984/5/27/008.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Koide, Yasuo. "Metal–diamond semiconductor interface and photodiode application." Applied Surface Science 254, no. 19 (July 2008): 6268–72. http://dx.doi.org/10.1016/j.apsusc.2008.02.157.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Salvan, F., F. Thibaudau, and Ph Dumas. "STM studies of metal-semiconductor interface formation." Applied Surface Science 41-42 (January 1990): 88–96. http://dx.doi.org/10.1016/0169-4332(89)90038-x.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Jia, Chuancheng, Xinxi Li, Na Xin, Yao Gong, Jianxin Guan, Linan Meng, Sheng Meng, and Xuefeng Guo. "Interface-Engineered Plasmonics in Metal/Semiconductor Heterostructures." Advanced Energy Materials 6, no. 17 (June 3, 2016): 1600431. http://dx.doi.org/10.1002/aenm.201600431.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Harada, T., S. Ito, and A. Tsukazaki. "Electric dipole effect in PdCoO2/β-Ga2O3 Schottky diodes for high-temperature operation." Science Advances 5, no. 10 (October 2019): eaax5733. http://dx.doi.org/10.1126/sciadv.aax5733.

Full text
Abstract:
High-temperature operation of semiconductor devices is widely demanded for switching/sensing purposes in automobiles, plants, and aerospace applications. As alternatives to conventional Si-based Schottky diodes usable only at 200°C or less, Schottky interfaces based on wide-bandgap semiconductors have been extensively studied to realize a large Schottky barrier height that makes high-temperature operation possible. Here, we report a unique crystalline Schottky interface composed of a wide-gap semiconductor β-Ga2O3 and a layered metal PdCoO2. At the thermally stable all-oxide interface, the polar layered structure of PdCoO2 generates electric dipoles, realizing a large Schottky barrier height of ~1.8 eV, well beyond the 0.7 eV expected from the basal Schottky-Mott relation. Because of the naturally formed homogeneous electric dipoles, this junction achieved current rectification with a large on/off ratio approaching 108 even at a high temperature of 350°C. The exceptional performance of the PdCoO2/β-Ga2O3 Schottky diodes makes power/sensing devices possible for extreme environments.
APA, Harvard, Vancouver, ISO, and other styles
30

Kovenskiy, I. M., S. V. Malysh, and V. V. Povetkin. "STRUCTURAL PECULIARITIES OF THE PROCESS OF ELECTROLYTIC CHROMIUM PLATING IN RESTORATION OF WORN PARTS." Oil and Gas Studies, no. 1 (March 1, 2018): 92–97. http://dx.doi.org/10.31660/0445-0108-2018-1-92-97.

Full text
Abstract:
Processes occurring at the metal-electrolyte interface in chrome plating of parts with an inhomogeneous structure have been considered from the standpoint of the band theory of semiconductors. Similarity of processes in the systems metal - semiconductor and metal - electrolyte has been established. A technique for estimating the quality of surface preparation of worn parts for electrolytic chromium plating based on the results of measuring the spread of thermal EMF values has been validated.
APA, Harvard, Vancouver, ISO, and other styles
31

Char, K. "Crystal Interface Engineering in High Tc Oxides." MRS Bulletin 19, no. 9 (September 1994): 51–55. http://dx.doi.org/10.1557/s0883769400047990.

Full text
Abstract:
Interfaces between metal oxides have not been studied as extensively as metal or semiconductor interfaces. Even in magnetic metallic systems, new phenomena are still being discovered, such as giant magnetoresistance, which has been attributed to an interface phenomenon. As general interest in metal oxides increases, researchers are studying a large variety of heterostructures consisting of superconducting oxides, conducting oxides, ferroelectric oxides, magnetic oxides, and optical oxides. As the complexity and level of integration increase, scientists need a better understanding of the interfaces in metal oxide systems. For example, interface issues in grain boundaries in high Tc oxides and in Josephson junctions of the superconductor/normal material/superconductor (SNS) type have been identified as important technological barriers. In heterostructures based on ferroelectric materials, fatigue problems are believed to be associated with the interface between the conducting electrodes and the ferroelectric metal oxides, and with grain boundaries in the ferroelectric materials.In this article we will focus on the interface issues related to YBCO superconductors. We will describe interface phenomena observed in several systems, such as YBCO/metal contacts, YBCO/YBCO grain boundaries, and YBCO/epitaxial metal oxides. From their seemingly universal behavior, we will try to identify the origin of the interface phenomena and will describe some recent efforts to control such phenomena.
APA, Harvard, Vancouver, ISO, and other styles
32

Burstein, L., J. Bregman, and Yoram Shapira. "Characterization of interface states at III‐V compound semiconductor‐metal interfaces." Journal of Applied Physics 69, no. 4 (February 15, 1991): 2312–16. http://dx.doi.org/10.1063/1.348712.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Brillson, L. J. "Interface bonding, chemical reactions, and defect formation at metal-semiconductor interfaces." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 25, no. 4 (July 2007): 943–49. http://dx.doi.org/10.1116/1.2432348.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Kim, Taikyu, Jeong-Kyu Kim, Baekeun Yoo, Hongwei Xu, Sungyeon Yim, Seung-Hwan Kim, Hyun-Yong Yu, and Jae Kyeong Jeong. "Improved switching characteristics of p-type tin monoxide field-effect transistors through Schottky energy barrier engineering." Journal of Materials Chemistry C 8, no. 1 (2020): 201–8. http://dx.doi.org/10.1039/c9tc04345d.

Full text
Abstract:
Metal–interlayer–semiconductor contact reduces metal-induced gap states, mitigating Fermi-level pinning at metal/semiconductor interface. Here, switching property of p-type SnO FET is enhanced by increasing electron Schottky barrier at off-state.
APA, Harvard, Vancouver, ISO, and other styles
35

Vaknin, Yonatan, Ronen Dagan, and Yossi Rosenwaks. "Schottky Barrier Height and Image Force Lowering in Monolayer MoS2 Field Effect Transistors." Nanomaterials 10, no. 12 (November 26, 2020): 2346. http://dx.doi.org/10.3390/nano10122346.

Full text
Abstract:
Understanding the nature of the barrier height in a two-dimensional semiconductor/metal interface is an important step for embedding layered materials in future electronic devices. We present direct measurement of the Schottky barrier height and its lowering in the transition metal dichalcogenide (TMD)/metal interface of a field effect transistor. It is found that the barrier height at the gold/ single-layer molybdenum disulfide (MoS2) interfaces decreases with increasing drain voltage, and this lowering reaches 0.5–1 V We also show that increase of the gate voltage induces additional barrier lowering.
APA, Harvard, Vancouver, ISO, and other styles
36

Mun-Soo Yun and Chang-Su Huh. "Interface phenomena of organic semiconductor junctioned with metal and inorganic semiconductor." Synthetic Metals 28, no. 1-2 (January 1989): 715–21. http://dx.doi.org/10.1016/0379-6779(89)90595-x.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Manku, T., and A. Nathan. "Effective mobility in p-channel Si–SiGe metal oxide semiconductor field effect transistors (MOSFETs)." Canadian Journal of Physics 70, no. 10-11 (October 1, 1992): 959–62. http://dx.doi.org/10.1139/p92-153.

Full text
Abstract:
In this paper calculated results of the effective mobility in p-channel Si–SiGe metal oxide semiconductor field effect transistor (MOSFET) like structures are presented. The mobility is described in terms of a weighted average with the corresponding carrier concentrations at the SiO2–Si interface and the Si–SiGe interface. The carrier concentrations at these two interfaces were obtained by a one-dimensional numerical solution to Poisson's equation, under pertinent boundary and interface conditions. The results indicate a significant enhancement in the effective mobility, if there are no misfit dislocations present at the Si–SiGe interface.
APA, Harvard, Vancouver, ISO, and other styles
38

Gao, Xian, Ji Long Tang, Dan Fang, Fang Chen, Shuang Peng Wang, Hai Feng Zhao, Xuan Fang, et al. "The Electrical Characteristics of GaAs-MgO Interfaces of GaAs MIS Schottky Diodes." Advanced Materials Research 1118 (July 2015): 270–75. http://dx.doi.org/10.4028/www.scientific.net/amr.1118.270.

Full text
Abstract:
Many researches pay attention to the metal-semiconductor interface barrier, due to its effect on device. Deliberate growing an interface layer to affect and improve the quality of device, especially metal-insulator-semiconductor (MIS) structures, arouses wide attention. In this paper, Be-doped GaAs was grown on substrate wafer by molecular beam epitaxy (MBE) on purpose before depositing insulator layer, and then MgO film as the dielectric interface layer of Au/GaAs were deposited using atomic layer deposition (ALD) method. The interface electrical characteristics of the metal-insulator-semiconductor (MIS) structures were investigated in detail. The barrier height and ideal factor of GaAs diode parameters were calculated by means of current-voltage (I-V) characteristics. Experimental result showed that along with the increasing of the doping content, the Schottky barrier height increasing, but the ideal factor decrease at first and then increase.
APA, Harvard, Vancouver, ISO, and other styles
39

Ebong, Abasifreke. "(Invited) Metallization: The Future of Highly Reliable Fire-through-Dielectric-Contacts to Silicon Solar Cells." ECS Meeting Abstracts MA2022-02, no. 16 (October 9, 2022): 826. http://dx.doi.org/10.1149/ma2022-0216826mtgabs.

Full text
Abstract:
The reliability of any electron device depends on the functionality of the metal contact to the semiconductor. Thus, the transport mechanism at the semiconductor/metal interface is dictated by the barrier height posed by the difference in the work function of these materials. A low barrier height is desirable to avoid the power loss in the form of heat at the interface, which often lead to delamination of the metal contacts and failure. However, it is highly improbable for the two work functions to ever match, therefore, the doping on the semiconductor is modified to reduce the barrier height. For lithography process which uses evaporated/plated metal contact, the barrier height is often reduced by heavily doping the semiconductor underneath the metal contact and treated in a reducing ambient to remove the Schottky barrier after metal contact process step. However, for the commercial silicon solar cell which relies on fire-through-dielectric-metal contacts with Ag, Ag coated Cu or Cu thick film metal pastes, there is glass at the semiconductor/metal interface, which is part of the thick film metal paste, that adds to the contact resistance. Since solar cell requires the emitter to be transparent in order to avoid the loss of photons, the metal paste must therefore be modified to reduce the barrier height to enable the field emission carrier transport at the interface. This paper will therefore focus on the efforts to understand the characteristics of thick film metal pastes that would lead to a highly reliable fire-through-dielectric-metal contacts. The thick film metal pastes for solar cell metal contacts consists three main components: (i) organics, (ii) glass frits and (iii) the metal powder. The morphology and particle size of the metal powders and glass frits are critical to the contact and gridline resistances. The viscosity and rheology of the paste among other parameters ensure the printability of continuous grid lines. The sintering of the gridline is impacted by the particle size and morphology of the metal powders and glass frits. The percentage of the glass frits determines how fast the underlying dielectric is etched during the sintering step. After the sintering, the proximity of the metal particle to the pn junction of the device dictates the quality of the formulated metal thick film paste. To understand the relationship between the thick film metal paste and the device parameters, the semiconductor/metal interface is often characterized through SEM/EDS to assess the (i) glass thickness, (ii) metal crystallites (iii) effectiveness of glass frit in etching the underlying dielectric, and (iv) the compounds formed and their distribution in the contact. All these characteristics are pertinent to the barrier height that determines the carrier transports at the metal/semiconductor interface. Thus, for a thick film metal paste to be compatible with the fire-through-dielectric contact (i) the glass frits should be able to uniformly etch the underlying dielectric, (ii) must produce a thin glass layer at the semiconductor/metal interface for low barrier height, (iii) must result in an ideality factor of close to unity for the device and (iv) high shunt resistance value. However, because of the high cost of Ag, there is an intensified effort to investigate the alternatives such as Cu and Ag coated Cu to achieve the above characteristics for cost effective metallization of solar cells. This paper will compare and contrast the emerging alternatives with the state-of-the-art Ag paste contacts.
APA, Harvard, Vancouver, ISO, and other styles
40

Feng, Wei, Xin Zhou, Wei Quan Tian, Wei Zheng, and PingAn Hu. "Performance improvement of multilayer InSe transistors with optimized metal contacts." Physical Chemistry Chemical Physics 17, no. 5 (2015): 3653–58. http://dx.doi.org/10.1039/c4cp04968c.

Full text
Abstract:
Solid experimental investigations were performed to reveal the specific interface nature of thin-film InSe layered semiconductor/metals. Multilayer InSe transistors showed significantly increased mobilities in the contact sequence of Al, Ti, Cr, and In. The interface nature of the metal/thin-film InSe layered semiconductor was strong van der Waals epitaxial interactions, accompanied with d-orbital overlap.
APA, Harvard, Vancouver, ISO, and other styles
41

CHAN, YIN THAI. "HETEROSTRUCTURED HYBRID COLLOIDAL SEMICONDUCTOR NANOCRYSTALS." COSMOS 06, no. 02 (December 2010): 235–45. http://dx.doi.org/10.1142/s0219607710000589.

Full text
Abstract:
Significant efforts in the field of colloidal semiconductor particles have been dedicated to the fabrication and study of hybrid metal–semiconductor nanoheterostructures, where the incorporation of the metal moiety may potentially enhance and/or expand existing applications of semiconductor nanoparticles. Many of these metal–semiconductor nanostructured constructs exhibit physical properties not found in either of their metal or semiconductor components, providing many opportunities for further investigation into interface and coupling effects between the two materials. We review some of the key research endeavors in this area, focusing mainly on the synthesis of the materials and the characterization of the various metal–semiconductor constructs, and highlighting some of the unique applications that have emerged from these efforts.
APA, Harvard, Vancouver, ISO, and other styles
42

Plusnin, Nikolay I. "Wetting Layer and Formation of Metal - Semiconductor Interface." Defect and Diffusion Forum 386 (September 2018): 9–14. http://dx.doi.org/10.4028/www.scientific.net/ddf.386.9.

Full text
Abstract:
A wetting layer with a nanophase structure was detected and identified before the first bulk phase during the formation of the metal-silicon interface by vapor-phase deposition at room temperature of the substrate. This became possible due to the developed technique for complex analysis of the structural-chemical state of the surface/ interface with help of Auger electron spectroscopy and electron energy loss spectroscopy, and also due to the method of physical vapor deposition at low temperature of vapor. The discovery this wetting layer and stage of its formation fundamentally changes the approach to the formation of contact between metal and silicon.
APA, Harvard, Vancouver, ISO, and other styles
43

Christianen, P. C. M., P. J. van Hall, H. J. A. Bluyssen, M. R. Leys, L. Drost, and J. H. Wolter. "Ultrafast carrier dynamics at a metal‐semiconductor interface." Journal of Applied Physics 80, no. 12 (December 15, 1996): 6831–38. http://dx.doi.org/10.1063/1.363749.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Wang, Jian, Xiaohao Jia, Zhaotong Wang, Weilong Liu, Xiaojun Zhu, Zhitao Huang, Haichao Yu, et al. "Ultrafast plasmonic lasing from a metal/semiconductor interface." Nanoscale 12, no. 31 (2020): 16403–8. http://dx.doi.org/10.1039/d0nr02330b.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Hill, I. G., A. J. Mäkinen, and Z. H. Kafafi. "Initial stages of metal/organic semiconductor interface formation." Journal of Applied Physics 88, no. 2 (July 15, 2000): 889–95. http://dx.doi.org/10.1063/1.373752.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Dimoulas, A., D. P. Brunco, S. Ferrari, J. W. Seo, Y. Panayiotatos, A. Sotiropoulos, T. Conard, et al. "Interface engineering for Ge metal-oxide–semiconductor devices." Thin Solid Films 515, no. 16 (June 2007): 6337–43. http://dx.doi.org/10.1016/j.tsf.2006.11.129.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Uedono, A., S. Tanigawa, and Y. Ohji. "Metal/oxide/semiconductor interface investigated by monoenergetic positrons." Physics Letters A 133, no. 1-2 (October 1988): 82–84. http://dx.doi.org/10.1016/0375-9601(88)90742-6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Torres, I., and D. M. Taylor. "Interface states in polymer metal-insulator-semiconductor devices." Journal of Applied Physics 98, no. 7 (October 2005): 073710. http://dx.doi.org/10.1063/1.2081109.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Yang, M. J., C. H. Yang, M. A. Kinch, and J. D. Beck. "Interface properties of HgCdTe metal‐insulator‐semiconductor capacitors." Applied Physics Letters 54, no. 3 (January 16, 1989): 265–67. http://dx.doi.org/10.1063/1.100985.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Li, Jong-Lih, Chieh-Hsiung Kuan, and Ting-Wei Liao. "Well-Patterned Metal-Semiconductor Interface Improving Contact Conductance." Journal of Nanoscience and Nanotechnology 12, no. 10 (October 1, 2012): 7975–79. http://dx.doi.org/10.1166/jnn.2012.6629.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography