Dissertations / Theses on the topic 'Reactive ion etching'

To see the other types of publications on this topic, follow the link: Reactive ion etching.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Reactive ion etching.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Baker, Michael Douglas. "In-situ monitoring of reactive ion etching." Diss., Georgia Institute of Technology, 1996. http://hdl.handle.net/1853/15352.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Morris, Bryan George Oneal. "In situ monitoring of reactive ion etching." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2009. http://hdl.handle.net/1853/31688.

Full text
Abstract:
Thesis (Ph.D)--Electrical and Computer Engineering, Georgia Institute of Technology, 2010.
Committee Chair: May, Gary; Committee Member: Brand,Oliver; Committee Member: Hasler,Paul; Committee Member: Kohl,Paul; Committee Member: Shamma,Jeff. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
3

Pugh, C. J. "End point detection in reactive ion etching." Thesis, University College London (University of London), 2013. http://discovery.ucl.ac.uk/1398304/.

Full text
Abstract:
End-point detection for deep reactive ion etch of silicon in the semiconductor industry has been investigated with a focus on statistical treatments on optical emission spectroscopy. The data reduction technique Principal components analysis (PCA) has been briefly reviewed and analysed as an introduction to independent component analysis (ICA). ICA is a computational dimension reduction technique capable of separating multivariate data into single components. In this instance PCA and ICA are used in to combine the spectral channels of optical emission spectroscopy of plasma processes into a reduced number of components. ICA is based on a fixed-point iteration process maximizing non-gaussianity as a measure of statistical independence. ICA has been shown to offer an improvement in signal to noise ratio when compared to principal component analysis, which has been widely used in previous studies into end-pointing. In addition to the end-point investigation, a study was carried out into the fabrication of arrays of free standing silicon nanorods. The fabrication process consisted of an electron beam lithograpy stage to pattern bare silicon, followed by a deep reactive ion etch - using the Bosch process - to create the nanorods. A variety of difference diameter nanorods, with a selection of pitch dimensions were created using this technique.
APA, Harvard, Vancouver, ISO, and other styles
4

Hedgecock, Ian. "The methane/hydrogen reactive ion etching of InP." Thesis, University of Bristol, 1994. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.240193.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Landi, S. "Reactive ion etching techniques for uncooled pyroelectric detectors." Thesis, Cranfield University, 2006. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.423086.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Dickenson, Andrew C. "Measurement and simulation of ion energy distributions in a reactive ion etcher." Thesis, University of Bristol, 1994. http://hdl.handle.net/1983/2e692fca-5cd1-48da-bb7e-6bb76a1bb23b.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

May, Paul W. "The energies of ions, electrons and neutral in reactive ion etching plasmas." Thesis, University of Bristol, 1991. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.303946.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Robertson, C. J. "Factors controlling etch anisotropy in plasmas." Thesis, University of Surrey, 1990. http://epubs.surrey.ac.uk/843224/.

Full text
Abstract:
The use of radio frequency (rf) plasma techniques to produce fine structures of precise geometry is widespread in the microelectronics industry. An important factor influencing the functionality of fabricated devices is the wall angle of these structures. In certain applications vertical walls are required - for example to minimise mask degradation and maximise gate densities; in others a sloping sidewall is preferred - to minimise stress in metal coatings when making electrical contact through 'via' holes, for instance. This fine control cannot be achieved on micron and sub-micron scale devices using conventional 'wet' chemical processing techniques and has led to the adoption of so-called 'dry' processing techniques using plasmas. Both vertical and sloping wall profiles can be produced depending upon the plasma conditions. It is apparent, therefore, that a thorough understanding of the processes affecting the etch profile is important. Reactive ion etching (RIE) has been employed to produce micron, and sub-micron size structures in polyimide using an oxygen plasma. Present models of etch directionality all make the initial assumption that the directional component of the etching process can be attributed solely to O2+ ion bombardment of the exposed horizontal surface of the wafer driven by the electric 'sheath' field developed above the electrode. Whether species such as O+ and even multiply charged reactive species such as O++ and O+++ can legitimately be neglected in formulating such a model has yet to be established. That such multiply ionized species exist, however, is highly probable given that plasmas are well known to emit strongly in the ultraviolet. The etching system developed to investigate these problems was equipped with diagnostic techniques including optical emission spectroscopy, mass spectrometry, and a grid energy analyser. The optical emission spectrometer was novel in being capable of measuring emission from the far-ultraviolet emission spectrum of the plasma and was therefore able to detect the high energy ultraviolet light and the singly and multiply ionised species from which this radiation is emitted. Using this technique the role of multiply-ionised species in controlling etch anisotropy was investigated. Results are also presented, obtained from a retarding grid, particle energy analyser built into the surface of the earth electrode, which indicate increased charged particle flux and energy at low pressure providing further information with regard to the process dynamics. The influence of gas pressure and rf excitation frequency on the resultant etch profile have been investigated. Results are presented showing the presence of doubly-ionised atomic oxygen O++ in the plasma. It is shown in this work that O++ also has a role in etch anisotropy at low pressure. This and other more highly charged species need to be considered, therefore, in formulating models of etch anisotropy, etch rate, and etch chemistry and reaction mechanisms. The role of ultraviolet irradiation which is itself of sufficient energy to induce surface reactions must also be considered.
APA, Harvard, Vancouver, ISO, and other styles
9

Chatfield, Robert J. "Mass and optical spectroscopy of CF₄ + O₂ plasmas and their application to the etching of Si, Ge and SiGe alloys." Thesis, University of Bristol, 1993. http://hdl.handle.net/1983/821a17c4-1dad-442b-9179-1f521e571c0f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Fagan, James G. "Reactive ion etching of polymide films using a radio frequency discharge /." Online version of thesis, 1987. http://hdl.handle.net/1850/10284.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Garrity, Mary Patricia 1961. "Particle formation during reactive ion etching of silicon with SF(6)." Diss., The University of Arizona, 1997. http://hdl.handle.net/10150/282512.

Full text
Abstract:
Particle formation during low pressure SF6/argon etching of silicon in a single wafer parallel plate reactor is studied. Particles are extracted from the exhaust and collected on the wafer. Particle composition and morphology depend on plasma power, etch time, gas composition, and pressure. Primary particles are tens of nanometers in diameter and spherical and chain aggregates as large as 5 mum are observed. Critical powers and etch times are required for the formation of these aggregates. The presence of major gas phase species is determined using mass spectroscopy and optical emission spectroscopy. A three stage mechanism for describing the particle formation (nucleation, heterogeneous growth, and coagulation) is presented. Particle precursor and heterogeneous sources are determined from plasma-dependent, homogeneous, gas-phase reactions and etch product distributions predicted from electrical and etch rate measurements. Dissociation of SF6 into lower molecular weight SFx species and unsaturated SiFx species are primarily responsible for nuclei formation and subsequent, rapid heterogeneous growth by attachment of positive ions.
APA, Harvard, Vancouver, ISO, and other styles
12

Stokes, Charles David. "Real-time monitoring and control of reactive ion etching using neural networks." Diss., Georgia Institute of Technology, 2000. http://hdl.handle.net/1853/13422.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Hendricks, Douglas Ray 1958. "REACTIVE ION ETCHING OF GALLIUM-ARSENIDE AND ALUMINUM-GALLIUM - ARSENIDE USING BORON TRICHLORIDE AND CHLORINE." Thesis, The University of Arizona, 1987. http://hdl.handle.net/10150/276394.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Perng, John Kangchun. "High Aspect-Ratio Nanoscale Etching in Silicon using Electron Beam Lithography and Deep Reactive Ion Etching (DRIE) Technique." Thesis, Georgia Institute of Technology, 2006. http://hdl.handle.net/1853/11543.

Full text
Abstract:
This thesis reports the characterization and development of nanolithography using Electron Beam Lithography system and nanoscale plasma etching. The standard Bosch process and a modified three-pulse Bosch process were developed in STS ICP and Plasma ICP system separately. The limit of the Bosch process at the nanoscale regime was investigated and documented. Furthermore, the effect of different control parameters on the process were studied and summarized in this report. 28nm-wide trench with aspect-ratio of 25 (smallest trench), and 50nm-wide trench with aspect ratio of 37 (highest aspect-ratio) have been demonstrated using the modified three-pulse process. Capacitive resonators, SiBAR and IBAR devices have been fabricated using the process developed in this work. IBARs (15MHz) with ultra-high Q (210,000) have been reported.
APA, Harvard, Vancouver, ISO, and other styles
15

Puttock, Mark Stephen. "The study of surface damage of gallium arsenide induced by reactive ion etching." Thesis, Cardiff University, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.309647.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Hong, Sang Jeen. "Real-time malfunction diagnosis and prognosis of reactive ion etching using neural networks." Diss., Available online, Georgia Institute of Technology, 2004:, 2003. http://etd.gatech.edu/theses/available/etd-04082004-180227/unrestricted/hong%5Fsang%5Fj%5F200312%5Fphd.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Huang, Ann Christine. "Process Characterization of the Reactive-Ion Etching of Borosilicate Glass for Microfluidic Channels." DigitalCommons@CalPoly, 2010. https://digitalcommons.calpoly.edu/theses/384.

Full text
Abstract:
The ability to work with small amounts of fluids is an emerging technology that can greatly benefit the biomedical industry, diagnostics, and society as a whole. Typically, these microfluidic devices are fabricated using polydimethylsiloxane (PDMS). Although it is optically clear, relatively inert, and easy to manipulate, PDMS does have its limitations. These include its tendency to swell when it comes in contact with certain chemicals and its hydrophobicity, which makes it difficult to analyze aqueous samples. Glass is an alternative material that addresses both issues. Etching is used to create these channels in glass. Wet etching procedures are typically isotropic and can lead to contamination. Dry etching is capable of producing anisotropic profiles, which is a desired trait. The purpose of this thesis was to characterize the process for the dry etching of borosilicate glass for microfluidic channels. Etch rate and surface roughness were studied, with partial pressure ratio (SF6:O2) and RF power as the factors. After formulating a DOE, the glass wafers were etched, with aluminum as the etching mask. The etch rate and roughness were measured using a stylus profilometer and an ANOVA was generated to reveal any statistical significance between the treatments. There was a definite increase in etch rate with an increase in the SF6:O2 ratio as an increase in fluorine atoms etched more of the material. An increase in RF power led to an increase in etch rate due to ionic bombardment. From the ANOVA analysis, partial pressure ratio and RF power did not have a significant effect on roughness. This may have been due to the high variability from the small sample size. From the sample means, there may have been a trend present. An increase in SF6:O2 may have led to a higher roughness due to the amount of non-volatile compounds generated as more F atoms were available to react with the surface. For RF power, the sample means suggested that a higher RF power led to a lower roughness. If this were the case, it may have been due to the increase in ionic bombardment which was able to remove the non-volatile products that accumulated on the etched surface. Microscopic images of the etched surface revealed possible damage to the aluminum mask. The cause is unknown and could have occurred from various sources.
APA, Harvard, Vancouver, ISO, and other styles
18

Liem, Suk Ing. "Influence of ion energy on the reactive ion etching induced optical damage and annealing of gallium nitride." Thesis, University of Canterbury. Physics, 2003. http://hdl.handle.net/10092/5706.

Full text
Abstract:
Photoluminescence (PL) has been successfully applied to study the optical properties of GaN. The PL results of the a-GaN samples made by the University of Victoria Wellington and poly-GaN samples made by the University of Canterbury have been able to give feedback to the preparation stage in order to modify the growth parameters. Reactive Ion Etching (RIE) induces defects in semiconductor GaN, and its effects on the optical properties of c-GaN have been studied and investigated, using the PL technique. The results and analysis of Ar and SF6 plasma etching with various etching voltages on the optical properties through the changes of the PL intensity, linewidth and peak position of c-GaN have been discussed and presented. Effects of annealing in vacuum, hydrogen and nitrogen ambient on the optical properties of GaN has also been investigated. Detailed study of these annealing effects on the PL intensity, linewidth, peak position are presented to show the crystal relaxation and defects created due to the annealing processes.
APA, Harvard, Vancouver, ISO, and other styles
19

Filleul, Maria Louise. "An examination of the damage caused by the reactive ion etching of gallium arsenide." Thesis, University College London (University of London), 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.296102.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Chung, Charles Choi. "Thermomigrated Junction Isolation of Deep Reactive Ion Etched, Single Crystal Silicon Devices, and its Application to Inertial Navigation Systems." Diss., Georgia Institute of Technology, 2004. http://hdl.handle.net/1853/5120.

Full text
Abstract:
The introduction of deep reactive ion etching (DRIE) technology has greatly expanded the accessible design space for microscopic systems. Structures that are hundreds of micrometers tall with aspect ratios of 40:1, heretofore impossible, can now be achieved. However, this technology is primarily a forming technology, sculpting structures from a substrate. This work seeks to complement deep reactive ion etching by developing an electrical isolation technology to enable electro-mechanical function in these new deep reactive ion etched structures. The objective of the research is twofold. The first is to develop and characterize an electrical isolation technology for DRIE, single crystal silicon (SCS) micro-electro-mechanical systems (MEMS) using temperature gradient zone melting (TGZM) of aluminum junctions for diodic isolation. The second is to demonstrate the utility of this electrical isolation technology in the design, simulation, fabrication, and testing of a MEMS device, i.e. a micro-gyroscope, in such a way that the benefits from junction isolated, deep reactive ion etched, single crystal silicon devices are preserved.
APA, Harvard, Vancouver, ISO, and other styles
21

Tudoroiu, Nicolae. "Application of multivariable and intelligent control strategies for improving plasma characteristics in reactive ion etching." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2001. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/NQ59229.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Gould, Parker Andrew, and Mitchell David Hsing. "Design, fabrication, and characterization of a compact deep reactive ion etching system for MEMS processing." Thesis, Massachusetts Institute of Technology, 2014. http://hdl.handle.net/1721.1/93835.

Full text
Abstract:
Thesis: S.M., Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, 2014.
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 123-126).
A general rule of thumb for new semiconductor fabrication facilities (Fabs) is that revenues from the first year of production must match the capital cost of building the fab itself. With modem Fabs routinely exceeding $1 billion to build, this rule serves as a significant barrier to entry for groups seeking to commercialize new semiconductor devices aimed at smaller market segments which require a dedicated process. To eliminate this cost barrier we are working to create a small-scale production suite of tools that will processes small (~1") substrates and cost less than $1 million. By shrinking the size of the substrate, substantial savings can be realized in material usage, energy consumption, and, most importantly, capital costs. In this thesis, we present the development of the first tool in this suite of small substrate processing equipment, a deep reactive ion etcher (DRIE). DRIE tools are used to create highly anisotropic, high aspect-ratio trenches in silicon-a crucial element in the production of many microelectromechanical systems (MEMS) devices. We are targeting the Bosch Process method of DRIE, which is a time multiplexed process that rapidly alternates between an SF₆-based reactive ion etching (RIE) step that isotropically etches silicon and a C₄F₈-based plasma-enhanced chemical vapor deposition (PECVD) step that passivates the sidewalls of the etched features. The rapid alternation between the RIE and PECVD steps allows highly anisotropic features to be etched in silicon. The DRIE system developed in this thesis is roughly the size of a microwave oven and costs just a fraction of commercial etching systems. The test results presented herein characterize the stability and operating limits of the vacuum and plasma generation systems, and demonstrate the system's raw etching capability using a mix of SF₆ and O₂ process gases. Etch rates exceeding 4 [mu]m/min with control of the etched profile are reported, with models fitted to the data indicating increased capabilities with optimized process conditions.
by Parker Andrew Gould and Mitchell David Hsing.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
23

Wade, I. T. "Spectroscopic studies of the reactive ion etching of GaAs in CC12̲F2̲ and CC13̲F plasmas." Thesis, University of Bristol, 1989. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.234592.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Diaz, Jaime O. (Jaime Oscar Diaz Villamil). "A feature-to-wafer-scale model of etch-rate non-uniformity in deep reactive ion etching/." Thesis, Massachusetts Institute of Technology, 2010. http://hdl.handle.net/1721.1/61572.

Full text
Abstract:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2010.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 76-77).
Deep Reactive Ion Etching (DRIE) is an inherently complex dry etching process commonly used in the semiconductor manufacturing industry. This work presents a new modeling approach to capture global etch rate variation in DRIE by integrating wafer- and feature-scale nonuniformity models that are grounded on an ion-neutral synergy model for etch rate. Our method focuses on diffusive transport and local depletion of Fluorine radicals above the wafer surface to facilitate integration of wafer- and feature-scale models. Our results show that the wafer-level model achieves a success comparable to that of other wafer-level models previously developed with an etch rate RMS error percentage between 2.1% and 8.2%. The coupled wafer- and feature-level model shows that the feature-level etch evolution substantially impacts the waferlevel Fluorine concentration and thereby modifies the wafer etch rate uniformity. Similarly, the wafer-level etch rate directly impacts the rate of feature-level etch evolution. The coupled model is observed to over-predict the feature etch depth by an amount that increases with time and decreases for larger features, thus suggesting that the over-prediction arises from our assumption of negligible Fluorine consumption at the feature sidewall. Within-wafer etch depth variation of high aspect ratio features is also over-predicted, likely due in part to the negligible sidewall Fluorine consumption assumed. Suggestions to improve all levels of the model are examined.
by Jaime 0. Diaz.
M.Eng.
APA, Harvard, Vancouver, ISO, and other styles
25

Sahafi, Hossein Fariborz. "A study of reactive ion etching of gallium arsenide in mixtures of methane and hydrogen plasmas." Thesis, Middlesex University, 1992. http://eprints.mdx.ac.uk/13602/.

Full text
Abstract:
The aim of this research was to investigate the reactive ion etching (RIE) of gallium arsenide (GaAs) in mixtures of methane and hydrogen (CH ₄H₂) plasma and to evaluate thier advantages over chlorinated plasmas. This was performed in order to find the optimum etching conditions for GaAs such as, the best etch rate with greatest degree of anisotropy, the finest smooth side walls and the lowest surface roughness. The induced damage to GaAs due to RIE was investigated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. From a study of the behaviour of the DC self bias voltage and an analysis of electrical characterization, a possible model of the mechanism of etching GaAs in methane and hydrogen mixtures was proposed. The main contributions of this research are as follows: Etching of GaAs while maintaining the total flow rate of the gas mixture and its residence time in the chamber constant. Studying the effect of the physical component of the etching mechanism on GaAs by investigating the variation in the DC self bias voltage for all process parameters. Analyzing the effect of process parameters on the deposition rate of carbon polymers on the surface of the inorganic mask during GaAs etching. Investigating the effect of process parameters and carbon polymer deposition on SiO ₂mask erosion during GaAs etching. Examining the electrical characteristics of highly doped p-GaAs following RIE in CH ₄/H₂ plasma and comparing with those of H ₂ etched samples.
APA, Harvard, Vancouver, ISO, and other styles
26

Dhru, Shailini Rajiv. "Process Development For The Fabrication Of Mesoscale Electrostatic Valve Assembly." Master's thesis, University of Central Florida, 2007. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/4244.

Full text
Abstract:
This study concentrates on two of the main processes involved in the fabrication of electrostatic valve assembly, thick resist photolithography and wet chemical etching of a polyamide film. The electrostatic valve has different orifice diameters of 25, 50, 75 and 100 μm. These orifice holes are to be etched in the silicon wafer with deep reactive ion etching. The photolithography process is developed to build a mask of 15 μm thick resist pattern on silicon wafer. This photo layer acts as a mask for deep reactive ion etching. Wet chemical etching process is developed to etch kapton polyamide film. This etched film is used as a stand off, gap between two electrodes of the electrostatic valve assembly. The criterion is to develop the processed using standard industry tools. Pre post etch effects, such as, surface roughness, etching pattern, critical dimensions on the samples are measured with Veeco profilometer.
M.S.
Other
Engineering and Computer Science
Electrical Engineering MSEE
APA, Harvard, Vancouver, ISO, and other styles
27

Morgan, Brian C. "Development of a deep silicon phase Fresnel lens using gray-scale lithography and deep reactive ion etching." College Park, Md. : University of Maryland, 2004. http://hdl.handle.net/1903/240.

Full text
Abstract:
Thesis (M.S.) -- University of Maryland, College Park, 2004.
Thesis research directed by: Dept. of Electrical and Computer Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
APA, Harvard, Vancouver, ISO, and other styles
28

Powell, Olly, and n/a. "Fabrication of Micro-Mirrors in Silicon Optical Waveguides." Griffith University. School of Microelectronic Engineering, 2004. http://www4.gu.edu.au:8080/adt-root/public/adt-QGU20040719.115224.

Full text
Abstract:
The conventional large radii bends used in large cross section silicon-on-insulator waveguides were replaced with novel wet etched corner mirrors, potentially allowing much smaller devices, therefore lower costs. If such corners had been based on reactive ion etch techniques they would have had the disadvantage of rougher surfaces and poor alignment in the vertical direction. Wet etching overcomes these two problems by providing smooth corner facets aligned precisely to the vertical {100} silicon crystallographic planes. The waveguides obtained had angled walls, and so numerical analysis was undertaken to establish the single mode condition for such trapezoidal structures. To show the relationship between fabrication tolerances and optical losses a three dimensional simulation tool was developed, based on expansion of the incident mode into plane waves. Various new fabrication techniques were are proposed, namely: the use of titanium as a mask for deep silicon wet anisotropic etching, a technique for aligning masks to the crystal plane on silicon-oninsulator wafers, a corner compensation method for sloping sidewalls, and the suppression of residues and pyramids with the use of acetic acid for KOH etching. Also, it was shown that isopropyl alcohol may be used in KOH etching of vertical walls if the concentration and temperature are sufficiently high. As the proposed corner mirrors were convex structures the problem of undercutting by high order crystal planes arose. This was uniquely overcome by the addition of some structures to effectively convert the convex structures into concave ones. The corner mirrors had higher optical losses than were originally hoped for, similar to those of mirrors in thin film waveguides made by RIE. The losses were possibly due to poor angular precision of the lithography process. The design also failed to provide adequate mechanisms to allow the etch to be stopped at the optimal time. The waveguides had the advantage over thin film technology of large, fibre-compatible cross sections. However the mirror losses must be reduced for the technology to compete with existing large cross section waveguides using large bends. Potential applications of the technology are also discussed. The geometry of the crystal planes places fundamental limits on the proximity of any two waveguides. This causes some increase in the length of MMI couplers used for channel splitting. The problem could possibly be overcome by integrating one of the mirrors into the end of the MMI coupler to form an L shaped junction.
APA, Harvard, Vancouver, ISO, and other styles
29

Powell, Olly. "Fabrication of Micro-Mirrors in Silicon Optical Waveguides." Thesis, Griffith University, 2004. http://hdl.handle.net/10072/365595.

Full text
Abstract:
The conventional large radii bends used in large cross section silicon-on-insulator waveguides were replaced with novel wet etched corner mirrors, potentially allowing much smaller devices, therefore lower costs. If such corners had been based on reactive ion etch techniques they would have had the disadvantage of rougher surfaces and poor alignment in the vertical direction. Wet etching overcomes these two problems by providing smooth corner facets aligned precisely to the vertical {100} silicon crystallographic planes. The waveguides obtained had angled walls, and so numerical analysis was undertaken to establish the single mode condition for such trapezoidal structures. To show the relationship between fabrication tolerances and optical losses a three dimensional simulation tool was developed, based on expansion of the incident mode into plane waves. Various new fabrication techniques were are proposed, namely: the use of titanium as a mask for deep silicon wet anisotropic etching, a technique for aligning masks to the crystal plane on silicon-oninsulator wafers, a corner compensation method for sloping sidewalls, and the suppression of residues and pyramids with the use of acetic acid for KOH etching. Also, it was shown that isopropyl alcohol may be used in KOH etching of vertical walls if the concentration and temperature are sufficiently high. As the proposed corner mirrors were convex structures the problem of undercutting by high order crystal planes arose. This was uniquely overcome by the addition of some structures to effectively convert the convex structures into concave ones. The corner mirrors had higher optical losses than were originally hoped for, similar to those of mirrors in thin film waveguides made by RIE. The losses were possibly due to poor angular precision of the lithography process. The design also failed to provide adequate mechanisms to allow the etch to be stopped at the optimal time. The waveguides had the advantage over thin film technology of large, fibre-compatible cross sections. However the mirror losses must be reduced for the technology to compete with existing large cross section waveguides using large bends. Potential applications of the technology are also discussed. The geometry of the crystal planes places fundamental limits on the proximity of any two waveguides. This causes some increase in the length of MMI couplers used for channel splitting. The problem could possibly be overcome by integrating one of the mirrors into the end of the MMI coupler to form an L shaped junction.
Thesis (PhD Doctorate)
Doctor of Philosophy (PhD)
School of Microelectronic Engineering
Full Text
APA, Harvard, Vancouver, ISO, and other styles
30

Yung, Chi-Fan 1973. "A process technology for realizing integrated inertial sensors using deep reactive ion etching (DRIE) and aligned wafer bonding." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/80148.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Malik, Adnan Muhammad. "Development of High Aspect Ratio Nano-Focusing Si and Diamond Refractive X-ray optics using deep reactive ion etching." Thesis, University of Oxford, 2013. http://ora.ox.ac.uk/objects/uuid:588ca438-e4c6-4d51-8f13-30bcb3c437a3.

Full text
Abstract:
This thesis is devoted to the development of nano-focusing refractive optics for high energy X-rays using planar microelectronic technology. The availability of such optics is the key for the exploitation of high brilliance third and fourth generation X-ray sources. Advancements in the quality of optics available are commensurate with advancements in the fabrication technology. The fabrication process directly influences the quality and performance, so must be understood and controlled. In the first part of this thesis, the development of high aspect ratio Si kinoform lenses is examined. It is shown that control of the re-entrance angle is critical for successful fabrication; in fact, a large re-entrance angle can destroy the lens during the fabrication process. Through an etch study, it was found that as aspect ratio increases, control of the re-entrance angle becomes harder. To control the re-entrance angle for very high aspect ratios, a novel approach based on sacrificial structures was proposed and initial results presented. The second part is dedicated to an experimental study of refractive lenses made from diamond. Due to its low atomic number, relatively high density and very high thermal conductivity, diamond is one of the most desirable lens materials for refractive X-ray optics. However, due to its extreme hardness, it is very difficult to structure into a form suitable for X-ray lenses. To overcome this difficulty a Si moulding technique was used and focusing down to a 400 nm wide spot was achieved. Several obstacles were encountered and successfully overcome. The hardest obstacle was to obtain selective void-free filling in the Si moulds. Several methods were investigated. A method based on a sacrificial oxide layer and an Electrostatic Self-Assembly process was found to be the most useful. The approach discovered in this thesis is not limited to X-ray lenses, but can be applied to a wide variety of high aspect ratio MEMS requiring void-free diamond filling and smooth sidewalls.
APA, Harvard, Vancouver, ISO, and other styles
32

Yildirim, Alper. "Development Of A Micro-fabrication Process Simulator For Micro-electro-mechanical-systems(mems)." Master's thesis, METU, 2005. http://etd.lib.metu.edu.tr/upload/12606850/index.pdf.

Full text
Abstract:
ABSTRACT DEVELOPMENT OF A MICRO-FABRICATION PROCESS SIMULATOR FOR MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) Yildirim, Alper M.S, Department of Mechanical Engineering Supervisor: Asst. Prof. Dr. Melik Dö
len December 2005, 140 pages The aim of this study is to devise a computer simulation tool, which will speed-up the design of Micro-Electro-Mechanical Systems by providing the results of the micro-fabrication processes in advance. Anisotropic etching along with isotropic etching of silicon wafers are to be simulated in this environment. Similarly, additive processes like doping and material deposition could be simulated by means of a Cellular Automata based algorithm along with the use of OpenGL library functions. Equipped with an integrated mask design editor, complex mask patterns can be created by the software and the results are displayed by the Cellular Automata cells based on their spatial location and plane. The resultant etched shapes are in agreement with the experimental results both qualitatively and quantitatively. Keywords: Wet Etching, Anisotropic Etching, Doping, Cellular Automata, Micro-fabrication simulation, Material Deposition, Isotropic Etching, Dry Etching, Deep Reactive Ion Etching
APA, Harvard, Vancouver, ISO, and other styles
33

Chaudhary, Ashish. "Miniature Ion Optics Towards a Micro Mass Spectrometer." Scholar Commons, 2014. https://scholarcommons.usf.edu/etd/5410.

Full text
Abstract:
This PhD dissertation reports the development of miniature ion optics components of a mass spectrometer (MS) with the ultimate goal to lay the foundation for a compact low-power micromachined MS (µMS) for broad-range chemical analysis. Miniaturization of two specific components a) RF ion traps and b) an ion funnel have been investigated and miniature low-power versions of these components have been developed and demonstrated successfully in lab experiments. Power savings, simpler electronics and packaging schemes required to operate the micro-scale RF cylindrical ion traps have been the key motivation driving this research. Microfabricated cylindrical ion traps (µCITs) and arrays in silicon, silicon-on-insulator and stainless steel substrates have been demonstrated and average power of as low as 55 mW for a low mass range (28 to 136 amu) and mass spectra with better than a unit-mass-resolution have been recorded. For the ion funnel miniaturization effort, simple assembly, small form factor and ease of integration have been emphasized. A simplification of the conventional 3D ion funnel design, called the planar ion funnel, has been developed in a single plate and has been tested to demonstrate ion funneling at medium vacuum levels (1E-5 Torr) using DC voltages and power less than 0.5 W. Miniaturization of these components also enables use of other novel ion optics components, packaging and integration, which will allow a new class of µMS architectures amenable for radical miniaturization.
APA, Harvard, Vancouver, ISO, and other styles
34

Aydemir, Akin. "Deep-trench Rie Optimization For High Performance Mems Microsensors." Master's thesis, METU, 2007. http://etd.lib.metu.edu.tr/upload/12608719/index.pdf.

Full text
Abstract:
This thesis presents the optimization of deep reactive ion etching process (DRIE) to achieve high precision 3-dimensional integrated micro electro mechanical systems (MEMS) sensors with high aspect ratio structures. Two optimization processes have been performed to achieve 20 &
#956
m depth for 1 &
#956
m opening for a dissolved wafer process (DWP) and to achieve 100 &
#956
m depth for 1 &
#956
m opening for silicon-on-glass (SOG) process. A number of parameters affecting the etch rate and profile angle are investigated, including the step times, etch step pressure, platen power, and electrode temperature. Silicon etch samples are prepared and processed in METU-MET facilities to understand and optimize the DRIE process parameters that can be used for the production of MEMS gyroscopes and accelerometers. The etch samples for DWP are masked using a photoresist, Shipley S1813. After the optimization process, vertical trench profiles are achieved with minimum critical dimension loss for trench depths up to 20 &
#956
m. Since the selectivity of the resist is not sufficient for 100 &
#956
m deep trench etch process, silicon dioxide (SiO2) is used as the mask for this process. At the end of the optimization processes, more than 100 &
#956
m depth for 1 &
#956
m opening with almost vertical sidewalls are achieved. In summary, this study provides an extensive understanding of the DRIE process for successful implementations of integrated MEMS sensors.
APA, Harvard, Vancouver, ISO, and other styles
35

Molloy, James. "Argon and argon-chlorine plasma reactive ion etching and surface modification of transparent conductive tin oxide thin films for high resolution flat panel display electrode matrices." Thesis, University of Ulster, 1997. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.243741.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Kremin, Christoph [Verfasser], Martin [Akademischer Betreuer] Hoffmann, Peter [Akademischer Betreuer] Schaaf, and Uwe [Akademischer Betreuer] Schnakenberg. "Fabrication and application of self-masked silicon nanostructures in deep reactive ion etching processes / Christoph Kremin. Martin Hoffmann. Peter Schaaf. Uwe Schnakenberg." Ilmenau : Universitätsbibliothek Ilmenau, 2010. http://d-nb.info/1008088250/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Vijay, Dilip P. "Reliability and processing of ferroelectric thin film capacitors with emphasis on fatigue and etching." Diss., This resource online, 1995. http://scholar.lib.vt.edu/theses/available/etd-06062008-162341/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Mulot, Mikaël. "Two-Dimensional Photonic Crystals in InP-based Materials." Doctoral thesis, KTH, Microelectronics and Information Technology, IMIT, 2004. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3751.

Full text
Abstract:

Photonic crystals (PhCs) are structures periodic in thedielectric constant. They exhibit a photonic bandgap, i.e., arange of wavelengths for which light propagation is forbidden.Engineering of defects in the PhC lattice offers new ways toconfine and guide light. PhCs have been manufactured usingsemiconductors and other material technologies. This thesisfocuses on two-dimensional PhCs etched in InP-based materials.Only recently, such structures were identified as promisingcandidates for the realization of novel and advanced functionsfor optical communication applications.

The primary focus was on fabrication and characterization ofPhC structures in the InP/GaInAsP/InP material system. Thedemands on fabrication are very high: holes as small as100-300nm in diameter have to be etched at least as deep as 2µm. Thus, different etch processes had to be explored andspecifically developed for InP. We have implemented an etchingprocess based on Ar/Cl2chemically assisted ion beam etching (CAIBE), thatrepresents the state of the art PhC etching in InP.

Different building blocks were manufactured using thisprocess. A transmission loss of 10dB/mm for a PhC waveguide, areflection of 96.5% for a 4-row mirror and a record qualityfactor of 310 for a 1D cavity were achieved for this materialsystem. With an etch depth of 4.5 µm, optical loss wasfound to be close to the intrinsic limit. PhC-based opticalfilters were demonstrated using (a) a Fabry-Pérot cavityinserted in a PhC waveguide and (b) a contra-directionalcoupler. Lag effect in CAIBE was utilized positively to realizehigh quality PhC taper sections. Using a PhC taper, a couplingefficiency of 70% was demonstrated from a standard ridgewaveguide to a single line defect PhC waveguide.

During the course of this work, InP membrane technology wasdeveloped and a Fabry-Pérot cavity with a quality factorof 3200 was demonstrated.

Keywords:photonic crystals, photonic bandgap materials,indium phosphide, dry etching, chemically assisted ion beametching, reactive ion etching, electron beam lithography,photonic integrated circuits, optical waveguides, resonantcavities, optical filtering, finite difference time domain,plane wave expansion.

APA, Harvard, Vancouver, ISO, and other styles
39

Joshi, Salil Mohan. "Effect of heat and plasma treatments on the electrical and optical properties of colloidal indium tin oxide films." Diss., Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/52170.

Full text
Abstract:
The research presented in this dissertation explores the possibility of using colloidal indium tin oxide (ITO) nanoparticle solutions to direct write transparent conducting coatings (TCCs), as an alternative route for TCC fabrication. ITO nanoparticles with narrow size distribution of 5-7 nm were synthesized using a non-aqueous synthesis technique, and fabricated into films using spin coating on substrates made from glass and fused quartz. The as-coated films were very transparent (>95% transmittance), but highly resistive, with sheet resistances around 10¹³ Ω/sq . Pre-annealing plasma treatments were investigated in order to improve the electrical properties while avoiding high temperature treatments. Composite RIE treatment recipes consisting of alternating RIE treatments in O₂ plasma and in Ar plasma were able to reduce the sheet resistance of as spin coated ITO films by 4-5 orders of magnitude, from about 10¹³ Ω/sq in as-coated films to about 3 x 10⁸ Ω/sq without any annealing. Plasma treatment, in combination with annealing treatments were able to decrease the sheet resistance by 8-9 orders of magnitude down to almost 10 kΩ/sq , equivalent to bulk resistivity of ~0.67 Ω.cm. Investigation into effectiveness of various RIE parameters in removing residual organics and in reducing the sheet resistance of colloidal ITO films suggested that while reactive ion annealing (RIE) pressure is an important parameter; parameters like plasma power, number of alternating O₂-Ar RIE cycles were also effective in reducing the residual organic content. Impedance spectroscopy analysis of the colloidal ITO films indicated the dominance of the various interfaces, such as grain boundaries, insulating secondary phases, charge traps, and others in determining the observed electrical properties.
APA, Harvard, Vancouver, ISO, and other styles
40

Khaldi, Alexandre. "Intégration d'actionneurs à base de polymères conducteurs électroniques pour des applications aux microsystèmes." Phd thesis, Université de Valenciennes et du Hainaut-Cambresis, 2012. http://tel.archives-ouvertes.fr/tel-00718661.

Full text
Abstract:
L'objectif de ce travail est la réalisation de nouveaux microactionneurs à base depolymère conducteur électronique pouvant être envisagés pour une application denanodrone à ailes battantes.Deux réseaux interpénétrés de polymères (RIPs) POE/PTHF (poly(oxyded'éthylène)/polytétrahydrofurane) et POE/NBR (poly(oxyde d'éthylène/Nitrile Butadiene Rubber) ont été synthétisés et caractérisés. Par le contrôle de la synthèse de ces RIPs,une co-continuité de phase des deux réseaux partenaires a pu être obtenue. Ce travail a ainsi permis l'obtention de matériaux combinant les propriétés propres de chaque réseau, une bonne conductivité ionique (POE) et de bonnes propriétés mécaniques (PTHF et NBR). Les propriétés mécaniques du matériau ont permis de réaliser des matériaux polymères support d'électrolyte manipulables avec des épaisseurs inférieures à 10 μm.Des RIPs conducteurs ont pu être élaborés à partir de ces matériaux en incorporant le polymère conducteur électronique (poly(3,4-éthylènedioxythiophène) - PEDOT), par une dispersion non homogène à partir de la surface vers l'intérieur du film. Après incorporation d'un liquide ionique (le 1-éthyl-3-méthylimidazolium bis-(trifluorométhylsulfonyl)imide ou EMImTFSI), ces matériaux électroactifs ont été caractérisés et ont montré qu'ils pouvaient actionner à des fréquences élevées (100Hz) par rapport aux autres dispositifs de ce type.La mise en forme micrométrique de ces matériaux a ensuite été réalisée par un procédé propre aux microsystèmes. Les techniques de photolithographie et de gravure ionique réactive ont été adaptées et étudiées pour l'élaboration de ces microactionneurs. Un mécanisme de dégradation chimique du matériau a été proposé afin d'expliquer l'étape de gravure. Enfin, la caractérisation des microactionneurs a ensuite aussi été réalisée.La force développée par ces microactionneurs est de l'ordre du μN et le pourcentage de déformation est de 1,8 %.
APA, Harvard, Vancouver, ISO, and other styles
41

Griss, Patrick. "Micromachined Interfaces for Medical and Biochemical Applications." Doctoral thesis, KTH, Signals, Sensors and Systems, 2002. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3353.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Montgomery, Matthew. "Magnetically Deflectable MEMS Actuators for Optical Sensing Applications." Master's thesis, University of Central Florida, 2009. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/6226.

Full text
Abstract:
In this work, new small deflection magnetic actuators have been proposed, designed, and tested for applications in Surface Enhanced Raman Scattering optical sensors. Despite the fact that SERS sensors have been shown to increase Raman over ten orders of magnitude for molecular detection, several technological challenges have prevented the design of practical sensors, such as making SERS sensors that can efficiently detect a wide variety of molecules. Since the optimum signal-to-noise in SERS occurs at different excitation wavelengths for different molecules, individual metal nanostructures need to be designed and fabricated for each independent chemical species. One possible solution to this problem is to tune the plasmon resonance frequency of the metal nanoparticles to eliminate the need for individually optimized particles. In order to achieve a tunable local dielectric environment, and thus allow for control over the resonance frequency of metal nanoparticles, a new SERS sensor geometry is proposed and a large deflection magnetic actuator is fabricated and tested as a starting point for the design of a small deflection magnetic actuator. Using the newly developed SERS geometry and the optimized fabrication processing techniques, two small deflection magnetic actuator beam structures were designed, fabricated, and tested. These devices utilizes an off-chip electromagnet source able to produce a magnetic force of approximately 14 ?N on the on-chip nickel film generating deflections up to 139 nm for the straight beam device and 164 nm for the curved beam device. In the process of characterizing the newly developed small deflection magnetic actuator, an integrated magnetic actuator with electrostatic restoration geometry was conceived. This device was designed to meet the specifications of the small deflection magnetic actuator as well as eliminate the need of an off-chip magnetic source and fully integrate the process atop the metal nanoparticle arrays. Using adhesive iron based magnetic strips as the magnetic drive source, circular NiFe beams with 1, 2, 3, and 4 mm diameters were designed and simulated. Calculations predicted maximum achievable actuation of up to 2.5 ?m. Processing steps were laid out for a set of integrated devices as a possible predecessor to the newly designed small deflection magnetic actuator.
M.S.E.E.
Masters
Electrical Engineering and Computer Science
Engineering and Computer Science
APA, Harvard, Vancouver, ISO, and other styles
43

Barthélémy, Eléonore. "Développement de guides d'onde IR à base de couches épaisses de verres tellurures pour l'interférométrie spatiale." Thesis, Montpellier 2, 2010. http://www.theses.fr/2010MON20184/document.

Full text
Abstract:
La mission Darwin, un projet d'interférométrie spatiale initié par l'ESA, nécessite l'utilisation de filtres modaux fonctionnant dans la gamme spectrale [6-20 µm]. Dans le cadre de ce travail, nous proposons la réalisation de filtres modaux basés sur des guides d'onde « tout tellurures » obtenus par la méthode d'empilement et de gravure. L'originalité de ce travail réside dans le fait que les guides réalisés sont de grandes dimensions (couches épaisses et profondeurs de gravure importantes), pour satisfaire aux exigences du projet. La première étape a donc consisté à choisir une méthode de dépôt qui permette d'obtenir des couches épaisses. La co-évaporation thermique a ainsi été mise en place et les paramètres de dépôt optimisés. Des couches d'épaisseur pouvant atteindre 17 µm, de bonne qualité (adhérentes, amorphes, denses et homogènes), transparentes de 6 à 20 µm et d'indice de réfraction contrôlé ont pu être obtenues. La gravure physique réactive (RIE) de ces couches, en utilisant un mélange gazeux CHF3/O2/Ar, a constitué la deuxième partie de ce travail. L'obtention de marches de profondeur pouvant dépasser 10 µm, présentant des profils de gravure de qualité, a été démontrée. Les différents guides d'onde IR réalisés ont été caractérisés optiquement après préparation de leurs faces d'entrée et de sortie. L'observation d'un bon confinement de la lumière sur un banc de guidage à λ = 10,6 µm et l'obtention d'un taux de réjection de 10-3 sur un banc d'interférométrie annulante nous ont permis de confirmer que les guides d'onde à base de couches tellurures et réalisés par la méthode d'empilement et de gravure constituaient une solution de choix en tant que filtres modaux pour l'interférométrie spatiale
The Darwin mission, an interferometric spatial project initiated by ESA, requires modal filters being able to work in the whole spectral range [6-20 µm]. In the framework of this work, we propose the realization of modal filters based on waveguides obtained by stacking and etching chalcogenide films. The originality of this work lies in the fact that the realized waveguides have large dimensions (thick films and deep etching), to satisfy the project requirements. The first step consisted in choosing the deposition method which allows obtaining thick films. The thermal co-evaporation was setting up and the deposition parameters were optimized. Films with thickness which can reach 17 µm, of good quality (adhesive, amorphous, dense and homogeneous), transparent from 6 to 20 µm and with controlled refractive index were obtained. The physical reactive etching of these films, by using a gas mixture CHF3/O2/Ar, constituted the second part of this work. The obtaining of deep rib which can exceed 10 µm, presenting etching profiles of good quality was demonstrated. The elaborated IR waveguides were optically characterized after preparation of their entrance and exit faces. The observation of light confinement on a guiding bench at λ = 10.6 µm and the obtaining of a rejection rate of 10-3 on a nulling interferometry bench allowed confirming that the waveguides based on the stacking and etching of telluride films was a choice solution as modal filters for the spatial interferometry
APA, Harvard, Vancouver, ISO, and other styles
44

Reinspach, Julia. "High-Resolution Nanostructuring for Soft X-Ray Zone-Plate Optics." Doctoral thesis, KTH, Biomedicinsk fysik och röntgenfysik, 2011. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-47409.

Full text
Abstract:
Diffractive zone-plate lenses are widely used as optics in high-resolution x-ray microscopes. The achievable resolution in such microscopes is presently not limited by the x-ray wavelength but by limitations in zone-plate nanofabrication. Thus, for the advance of high-resolution x-ray microscopy, progress in zone-plate nanofabrication methods are needed.   This Thesis describes the development of new nanofabrication processes for improved x-ray zone-plate optics. Cold development of the electron-beam resist ZEP7000 is applied to improve the resolution of soft x-ray Ni zone plates. The influence of developer temperature on resist contrast, resolution, and pattern quality is investigated. With an optimized process, Ni zone plates with outermost zone widths down to 13 nm are demonstrated. To enhance the diffraction efficiency of Ni zone plates, the concept of Ni-Ge zone plates is introduced. The applicability of Ni-Ge zone plates is first demonstrated in a proof-of-principle experiment, and then extended to cold-developed Ni zone plates with outermost zone widths down to 13 nm. For 15-nm Ni-Ge zone plates a diffraction efficiency of 4.3% at a wavelength of 2.88 nm is achieved, which is about twice the efficiency of state-of-the-art 15-nm Ni zone plates. To further increase both resolution and diffraction efficiency of soft x-ray zone plates, a novel fabrication process for W zone plates is developed. High resolution is provided by salty development of the inorganic electron-beam resist HSQ, and cryogenic RIE in a SF6 plasma is investigated for high-aspect-ratio W structuring. We demonstrate W zone plates with 12-nm outermost zone width and a W height of 90 nm, resulting in a 30% increase in theoretical diffraction efficiency compared to 13-nm efficiency-enhanced Ni-Ge zone plates. In addition to soft x-ray zone plates, some lenses for hard x-ray free-electron-laser applications were also fabricated during this Thesis work. Fabrication processes for the materials W, diamond, and Pt were developed. We demonstrate Pt and W-diamond zone plates with 100-nm outermost zone width and respective diffraction efficiencies of 8.2% and 14.5% at a photon energy of 8 keV.
QC 20111114
APA, Harvard, Vancouver, ISO, and other styles
45

Abi, Saab David. "Propriétés optiques, mécanismes de formation et applications du silicium noir." Thesis, Paris Est, 2015. http://www.theses.fr/2015PESC1001/document.

Full text
Abstract:
Dans le cadre de cette thèse, nous présentons un aperçu général des surfaces du silicium micro et nano structurées, appelées silicium noir (BSi), et obtenues par la gravure ionique réactive cryogénique (cryo-DRIE). Ces surfaces auto-générées peuvent être fabriquées dans un procédé en une seule étape fournissant de grandes surfaces à faible réflectivité sur une large gamme de longueurs d'onde et d'angles d'incidence. Nous examinons plusieurs aspects des surfaces du BSi, incluant les méthodes de fabrication, les applications, les méthodes de caractérisation de sa topographie, les techniques de modélisation pour les simulations optiques, et les mécanismes de croissance. Nous développons ensuite trois principales contributions que cette thèse apporte à l'état de l'art : une meilleure compréhension de la topographie du BSi, la modélisation de son comportement optique et un aperçu de ses mécanismes de formation. Nous développons une nouvelle technique de caractérisation topographique du BSi, utilisant un faisceau ionique localisé dans le plan de l'échantillon pour réaliser une nanotomographie qui reproduit les détails de structure avec une précision inférieure au micron. Nous présentons ensuite différentes méthodes de modélisation de cellules unitaires du BSi basées soit sur la topographie de la surface réelle obtenue, ou sur des formes géométriques équivalentes qui sont statistiquement représentatives de la topographie du BSi. Nous sommes capables d'obtenir une excellente concordance entre les simulations et les données expérimentales. Nous présentons également un modèle capable de simuler toute l'évolution de la surface du BSi allant d'un substrat plat jusqu'à sa topographie entièrement développée, en concordance avec des données obtenues expérimentalement. On produit un diagramme de phase qui saisit les combinaisons de paramètres responsables de la formation du BSi. Nous sommes en mesure de reproduire dans notre modèle, un certain nombre d'effets subtils qui mènent à la densification du motif observé, responsable de la formation du BSi pendant cryo-DRIE
In this thesis, we present a general overview of silicon micro and nanostructured surfaces, known as black silicon (BSi), fabricated with cryogenic deep reactive ion etching (cryo-DRIE). These self-generated surfaces can be fabricated in a single step procedure and provide large surfaces with reduced reflectance over a broad range of wavelengths and angles of incidence. We review several aspects of BSi surfaces, such as its fabrication methods, applications, topography characterization methods, modelling techniques for optical simulations, and growth mechanisms. We then develop three main contributions that this thesis brings to the state of the art: a better understanding of BSi topography, modelling of its optical behaviour and insights into its formation mechanism. We develop a novel BSi topographical characterisation technique which is based on in-plane focused ion beam nanotomography and can reproduce sample details with submicron accuracy. We then present different methods of modelling BSi unit cells, based either on real surface topography obtained using the aforementioned technique, or on equivalent geometric shapes that are statistically representative for BSi topography. We are capable to obtain excellent matching between simulations and experimental data. Finally, we present an experimentally-backed phenomenological model that is capable of simulating the entire evolution of a surface from a planar substrate to fully developed BSi topography. We produce a phase diagram which captures the parameter combinations responsible for BSi formation. We also observe experimentally, and are able to reproduce within our model, a number of subtle effects that lead to the observed pattern densification that is responsible for BSi formation during cryo-DRIE
APA, Harvard, Vancouver, ISO, and other styles
46

su-sir-liu and 劉書史. "Reactive Of Ion Etching For GaN." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/40953000164124061800.

Full text
Abstract:
碩士
國防大學中正理工學院
電子工程研究所
89
ABSTRACT Due to the progress of integrated circuit and the requirement of scaling-down, precise line-width control becomes one of the essential issues of modern semiconductor process engineering. Among those processes demands, the anti-isotropic property and the selectivity of such etching system become very critical, the traditional wet etching system and conventional plasma etching system can not meet the requirement anymore. Therefore, a new generation of dry etching system with low pressure, high plasma density is proposed. Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE) which using ion bombardment to enhance the conventional RIE and achieve many distinguish properties as high selectivity, fast etching-rate, little residue problem and variable etching angle…etc. Today it becomes the most successful modern etching system. In this study , we adopt ICP-RIE technique to etch our grown GaN layers and detail the four major topics as following: (1)Using reactive gases BCl3/Ar to etch GaN, by changing the BCl3/Ar flow rate、pressure、ICP and RF power to find the performance of those parameters as:etching rate、selectivity、anisotropic、surface morphologies, and the photoresist effect. (2)Using Cl2/BCl3/N2/H2/HBr/Ar, with different gas composition and flow rate to find and discuss the relationship between the etching rate and the etching profile. (3)Using BCl3/N2 /Ar reactive gases in low power region, by adjusting those parameters to perform deep GaN etching. (4)Using different mask materials such as (Ni/SiO2/PR)by changing the RF power to observe the correspondent etching rate, and find their relationship between selectivity and etching profile with different masks. After a series of intensive analysis and discussion, these etching parameters and their correspondent performances are reported, and an optimum etching condition is obtained.
APA, Harvard, Vancouver, ISO, and other styles
47

賴理學. "The application of reactive ion etching." Thesis, 1998. http://ndltd.ncl.edu.tw/handle/96404287678930778900.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

徐松睦. "Reactive ion etching of GaAs in CCl2 F2." Thesis, 1991. http://ndltd.ncl.edu.tw/handle/90920646294109189422.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Teng, Yuan-Chi, and 鄧元吉. "Microfluidics Fabrication Using Deep Reactive Ion Etching Technology." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/21029943836663976779.

Full text
Abstract:
碩士
逢甲大學
資訊電機工程碩士在職專班
99
Based on semiconductor and deep reactive ion etching techniques, the microfulidic fabrication is studied. To fabricate PMMA microfluidic disc, The reaction process parameters are discussed for studying Polymethyl methacrylate(PMMA)etching effects, such as RF power, etching time, gas flow rate, ESC temperature, helium flow rate, and chamber pressure. The PMMA etching depth is proportional to etching time. The higher output power of RF generator is, the deeper PMMA depth is. But high output power may induce temperature rise on etching surface that caused surface burning and deformation. By reducing ESC reacted surface temperature to improve PMMA deformation and surface burning problems, high RF output power can be applied to achieve long term operation in high power etching process. Helium pressure control may be a thermal conduction factor on ESC surface and the PMMA back. If improper control in reaction gas flow rate and chamber pressure, it results in the low etching rate of PMMA and then spoil vertical etching property. The optimal parameters of etching processes have analyzed for fabricating microchannel with a good aspect ratio, smooth surface, and great vertical shape wall. The microfluidic disk with different microchannel structures can be designed and fabricated using the deep reactive ion etching technology for varied applications.
APA, Harvard, Vancouver, ISO, and other styles
50

Lin, Chi Hsing, and 林集祥. "Research on Etching rate Improvement for Reactive-Ion-Etching in TFT-LCD." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/21242900157113307939.

Full text
Abstract:
碩士
國立交通大學
平面顯示技術碩士學位學程
100
Plasma technology has already widely been used in the TFT LCD panel manufacturing process. Such as dry etching, thin films deposited, and stripper…etc. Those are related to Plasma technology. The advantage of dry etching is well controlled in microstructure. Taiwan has the advantage in semiconductor manufacture and knowledge. With the strong foundation and know-how that could smoothly transferred to the TFT LCD manufacturing process and lead to well-controlled in the line width, depth-width ratio (aspect ratio), surface roughness, via angle control…etc., and also with better performance in electrical characteristics. In this thesis, we establish an experimental model of plasma etching mechanism. We use the chemical gases CL2/BCL3 on aluminum layer for Poly silicon in the Reactive Ion Etching machine (RIE) to do dry etching as our model. The plasma etching device parameters include: chamber pressure power, the electrode sealing rate, CLAMP height, the etch rate, and uniform degree of relationship. The method is using Taguchi methods to observe the relation with plasma equipment and the etching rate and uniformity. The results show: The major impact of etching rate is plasma power, and then followed by pressure, sealing rate, the last one is CLAMP. The major impact of uniformity is sealing rate, and then followed by CLAMP, pressure, the last one is plasma power. Plasma power also increases the plasma density, Due to dissociation rate rise will make the chlorine atom concentration and the etching rate increases. Furthermore, because the substrate is a square, in the past, etching speed rate in the middle of the substrate is faster than outside of substrate. Sealing rate will change the uniformity of the plasma and will make etching rate reach to balance. Finally, increasing the height of CLAMP let the plasma concentration on the substrate, thus achieving the homogenization and optimization.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography