To see the other types of publications on this topic, follow the link: Puissance Chip on Chip.

Dissertations / Theses on the topic 'Puissance Chip on Chip'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Puissance Chip on Chip.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Derkacz, Pawel. "Convertisseur GaN optimisé vis-à-vis de la CEM." Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT067.

Full text
Abstract:
Cette thèse étudie les possibilités de réduction des interférences électromagnétiques pour les convertisseurs d'électroniques de puissance utilisant des transistors GaN dans trois domaines principaux: la stratégie de contrôle, la conception des circuits imprimés ainsi que l'agencement des composants de puissance et les éléments magnétiques à haute fréquence. Sur la base d'un convertisseur Buck, l’impact de la contribution de la commutation dure et douce sur le bruit conduit généré (mode commun (CM) et mode différentiel (DM)) a été étudiée. L'effet positif de la commutation douce sur la réduction des perturbations CEM dans une gamme de fréquence spécifique a été démontré. L'impact des attributs de la conception de l'agencement a également été observé et la nécessité de l'optimiser a été soulignée. Ensuite, une étude détaillée de l'identification des éléments parasites dans un seul bras d'onduleur est présentée. Des domaines spécifiques de préoccupation ont été détaillés et examinés plus loin dans la thèse. Le flux de travail de simulation développé dans Digital Twin utilisé pour étudier l'impact des éléments de disposition individuels sur la CEM est présenté. Le banc d'essai de laboratoire utilisé pour les mesures CEM est également présenté, ainsi qu'une description des précautions nécessaires. En outre, les deux concepts clés mis en œuvre dans l'agencement - le blindage et le Power-Chip-on-Chip (PCoC) - sont présentés. Leur efficacité dans la réduction des interférences électromagnétiques de près de 20~dB a été confirmée par la simulation et l'expérimentation. Enfin, le concept d'inducteur intégré est présenté, qui peut être mis en œuvre en même temps que les solutions précédentes. L'efficacité d'un inducteur intégré planaire connecté au point central du pont a été démontrée par des études de simulation. La méthode de l'auteur pour identifier l'impédance de l'inducteur intégré et les principaux éléments parasites (en termes de CEM) a également été développée et présentée en détail. En conclusion, ce travail présente une série de solutions qui réduisent de manière significative l'EMI dans les convertisseurs à base de GaN, qui ont été validées par simulation et expérience et qui peuvent être appliquées à tous les types de convertisseurs électroniques de puissance
The thesis investigates the possibility of EMI mitigation for power electronic converters with GaN transistors in three key areas: control strategy, layout design, and integrated magnetic filter. Based on a Buck converter, the contribution of hard and soft switching to the generated conducted noise (Common Mode (CM) and Differential Mode (DM)) has been investigated. The positive effect of soft switching on EMI reduction in a specific frequency range was demonstrated. The impact of layout design attributes was also observed and the need to optimize it was highlighted. Next, a detailed study of the identification of parasitic elements in a single inverter leg is presented. Specific areas of concern were detailed and considered later in the thesis. The developed simulation workflow in Digital Twin used to study the impact of individual layout elements on EMC is presented. The laboratory test bench used for EMC measurements is also presented, together with a description of the necessary experimental precautions. Furthermore, the two key concepts implemented in the layout - shielding and Power-Chip-on-Chip (PCoC) - are presented. Their effectiveness in reducing EMI by almost 20~dB was confirmed by simulation and experiment. Finally, the Integrated Inductor concept is presented, which can be implemented together with the previous solutions. The effectiveness of a planar Integrated Inductor connected to the middle point of the bridge was demonstrated by simulation studies. The author's method for identifying the impedance of the Integrated Inductor and the key parasitic elements (in terms of EMC) has also been developed and presented in details. In conclusion, the work presents a series of solutions that significantly reduce EMI in GaN-based converters, which have been validated by simulation and experiment and can be applied to all types of power electronic converters
APA, Harvard, Vancouver, ISO, and other styles
2

Meyer, Sandra de. "Etude d'une nouvelle filière de composants HEMTs sur technologie nitrure de gallium : Conception d'une architecture flip-chip d'amplificateur distribué de puissance à très large bande." Limoges, 2005. http://aurore.unilim.fr/theses/nxfile/default/c6724388-69b6-4017-a9a5-6408d2282ef8/blobholder:0/2005LIMO0030.pdf.

Full text
Abstract:
Ces travaux se rapportent à l'étude de transistors HEMTs GaN pour l'amplification de puissance hyperfréquence. L'analyse des caractéristiques des matériaux grand gap, et plus précisément du GaN, est réalisée afin de mettre en évidence leur intérêt pour des applications d'amplification de puissance large bande. Des résultats de caractérisation et modélisation électrique de composants sont présentés. Par la suite, la méthode de modélisation hybride de composant est exposée et mise en œuvre sur différentes topologies et montages de HEMTs GaN. La finalité de ces travaux concerne la conception d'amplificateurs distribués de puissance large bande à base de cellules cascode de HEMTs GaN, reportés en flip-chip sur un substrat d'AlN. Il s'agit d'un premier pas vers le MMIC GaN étant donné que des capacités et résistances sont intégrées sur la puce de GaN. L'une des versions permet d'atteindre 10W sur la bande 4-18GHz avec une PAE associée de 20% à 2dB de compression
This work deals with the characterization of GaN HEMTs for RF power applications. In a first step, the properties of wide band-gap materials, and especially the GaN material, are analyzed in order to highlight their capabilities for wide band power amplifiers application. Results on characterization and linear/non-linear electrical and electromagnetic simulations, is exposed and applied to analyze different topologies and mountings of GaN HEMTs. This work is finalized with the design of wide band power amplifiers, showing a distributed architecture of cascode cells using GaN HEMTs and flip-chip mounted onto an AlN substrate. It appears as the first step toward GaN MMIC designs as capacitors and resistors are implemented on the GaN die. One version allows obtaining 10W over a 4 to 18GHz bandwidth, with an associated PAE of 20% at 2dB compression input power
APA, Harvard, Vancouver, ISO, and other styles
3

Dubois, Florentine. "Une méthodologie de conception de modèles analytiques de surface et de puissance de réseaux sur puce hautement paramétriques basée sur une méthode d’apprentissage automatique." Thesis, Grenoble, 2013. http://www.theses.fr/2013GRENM026/document.

Full text
Abstract:
Les réseaux sur puces (SoCs - Networks-on-chip) sont apparus durant la dernière décennie en tant que solution flexible et efficace pour interconnecter le nombre toujours croissant d'éléments inclus dans les systèmes sur puces (SoCs - Systems-on-chip). Les réseaux sur puces sont en mesure de répondre aux besoins grandissants en bande-passante et en scalabilité tout en respectant des contraintes fortes de performances. Cependant, ils sont habituellement caractérisés par un grand nombre de paramètres architecturaux et d'implémentation qui forment un vaste espace de conception. Dans ces conditions, trouver une architecture de NoC adaptée aux besoins d'une plateforme précise est un problème difficile. De plus, la plupart des grands choix architecturaux (topologie, routage, qualité de service) sont généralement faits au niveau architectural durant les premières étapes du flot de conception, mais mesurer les effets de ces décisions majeures sur les performances finales du système est complexe à un tel niveau d'abstraction. Les analyses statiques (méthodes non basées sur des simulations) sont apparues pour répondre à ce besoin en méthodes d'estimations des performances des SoCs fiables et disponibles rapidement dans le flot de conception. Au vu du haut niveau d'abstraction utilisé, il est irréaliste de s'attendre à une estimation précise des performances et coûts de la puce finale. L'objectif principal est alors la fidélité (caractérisation des grandes tendances d'une métrique permettant une comparaison équitable des alternatives) plutôt que la précision. Cette thèse propose une méthodologie de modélisation pour concevoir des analyses statiques des coûts des composants des NoCs. La méthode proposée est principalement orientée vers la généralité. En particulier, aucune hypothèse n'est faite ni sur le nombre de paramètres des composants ni sur la nature des dépendances de la métrique considérée sur ces mêmes paramètres. Nous sommes alors en mesure de modéliser des composants proposant des millions de possibilités de configurations (ordre de 1e+30 possibilités de configurations) et d'estimer le coût de réseaux sur puce composés d'un grand nombre de ces composants au niveau architectural. Il est complexe de modéliser ce type de composants avec des modèles analytiques expérimentaux à cause du trop grand nombre de possibilités de configurations. Nous proposons donc un flot entièrement automatisé qui peut être appliqué tel quel à n'importe quelles architectures et technologies. Le flot produit des prédicteurs de coûts des composants des réseaux sur puce capables d'estimer les différentes métriques pour n'importe quelles configurations de l'espace de conception en quelques secondes. Le flot conçoit des modèles analytiques à grains fins sur la base de résultats obtenus au niveau porte et d'une méthode d'apprentissage automatique. Il est alors capable de concevoir des modèles présentant une meilleure fidélité que les méthodes basées uniquement sur des théories mathématiques tout en conservant leurs qualités principales (basse complexité, disponibilité précoce). Nous proposons d'utiliser une méthode d'interpolation basée sur la théorie de Kriging. La théorie de Kriging permet de minimiser le nombre d'exécutions du flot d'implémentation nécessaires à la modélisation tout en caractérisant le comportement des métriques à la fois localement et globalement dans l'espace. La méthode est appliquée pour modéliser la surface logique des composants clés des réseaux sur puces. L'inclusion du trafic dans la méthode est ensuite traitée et un modèle de puissance statique et dynamique moyenne des routeurs est conçu sur cette base
In the last decade, Networks-on-chip (NoCs) have emerged as an efficient and flexible interconnect solution to handle the increasing number of processing elements included in Systems-on-chip (SoCs). NoCs are able to handle high-bandwidth and scalability needs under tight performance constraints. However, they are usually characterized by a large number of architectural and implementation parameters, resulting in a vast design space. In these conditions, finding a suitable NoC architecture for specific platform needs is a challenging issue. Moreover, most of main design decisions (e.g. topology, routing scheme, quality of service) are usually made at architectural-level during the first steps of the design flow, but measuring the effects of these decisions on the final implementation at such high level of abstraction is complex. Static analysis (i.e. non-simulation-based methods) has emerged to fulfill this need of reliable performance and cost estimation methods available early in the design flow. As the level of abstraction of static analysis is high, it is unrealistic to expect an accurate estimation of the performance or cost of the chip. Fidelity (i.e. characterization of the main tendencies of a metric) is thus the main objective rather than accuracy. This thesis proposes a modeling methodology to design static cost analysis of NoC components. The proposed method is mainly oriented towards generality. In particular, no assumption is made neither on the number of parameters of the components nor on the dependences of the modeled metric on these parameters. We are then able to address components with millions of configurations possibilities (order of 1e+30 configuration possibilities) and to estimate cost of complex NoCs composed of a large number of these components at architectural-level. It is difficult to model that kind of components with experimental analytical models due to the huge number of configuration possibilities. We thus propose a fully-automated modeling flow which can be applied directly to any architecture and technology. The output of the flow is a NoC component cost predictor able to estimate a metric of interest for any configuration of the design space in few seconds. The flow builds fine-grained analytical models on the basis of gate-level results and a machine-learning method. It is then able to design models with a better fidelity than purely-mathematical methods while preserving their main qualities (i.e. low complexity, early availability). Moreover, it is also able to take into account the effects of the technology on the performance. We propose to use an interpolation method based on Kriging theory. By using Kriging methodology, the number of implementation flow runs required in the modeling process is minimized and the main characteristics of the metrics in space are modeled both globally and locally. The method is applied to model logic area of key NoC components. The inclusion of traffic is then addressed and a NoC router leakage and average dynamic power model is designed on this basis
APA, Harvard, Vancouver, ISO, and other styles
4

Martin, Audrey. "Etude d'une nouvelle filière de composants sur technologie nitrure de gallium. Conception et réalisation d'amplificateurs distribués de puissance large bande à cellules cascodes en montage flip-chip et technologie MMIC." Phd thesis, Université de Limoges, 2007. http://tel.archives-ouvertes.fr/tel-00271472.

Full text
Abstract:
Ces travaux de recherche se rapportent à l'étude de transistors HEMTs en Nitrure de Gallium pour l'amplification de puissance micro-onde. Une étude des caractéristique des matériaux grand gap et plus particulièrement du GaN est réaliséé afin de mettre en exergue l'adéquation de leurs propriétés pour les applications de puissance hyperfréquence telle que l'amplification large bande. Dans ce contexte, des résultats de caractérisations et modélisations électriques de composants passifs et actifs sont présentés. Les composants passifs dédiés aux conceptions de circuits MMIC sont décrits et différentes méthodes d'optimisation que ce soit au niveau électrique ou électromagnétique sont explicitées. Les modèles non linéaires de transistors impliqués dans nos conceptions sont de même détaillés. Le fruit de ces travaux concerne la conception d'amplificateurs distribués de puissance large bande à base de cellules cascode de HEMTs GaN, l'un étant reportés en flip-chip sur un substrat d'AlN, le second en technologie MMIC. La version MMIC permet d'atteindre 6.3W sur la bande 4-18GHz à 2dB de compression. Ces résultats révèlent les fortes potetialités attendues des composants HEMTs GaN.
APA, Harvard, Vancouver, ISO, and other styles
5

Philippon-Martin, Audrey. "Étude d’une nouvelle filière de composants sur technologie nitrure de gallium : conception et réalisation d’amplificateurs distribués de puissance large bande à cellules cascodes en montage flip-chip et technologie MMIC." Limoges, 2007. https://aurore.unilim.fr/theses/nxfile/default/862a35bd-117b-4bc6-b2a0-044747ee2ff7/blobholder:0/2007LIMO4025.pdf.

Full text
Abstract:
Ces travaux de recherche se rapportent à l’étude de transistors HEMTs en Nitrure de Gallium pour l’amplification de puissance micro-onde. Une étude des caractéristiques des matériaux grand gap et plus particulièrement du GaN est réalisée afin de mettre en exergue l’adéquation de leurs propriétés pour des applications de puissance hyperfréquence telle que l’amplification large bande. Dans ce contexte, des résultats de caractérisations et modélisations électriques de composants passifs et actifs sont présentés. Les composants passifs dédiés aux conceptions de circuits MMIC sont décrits et différentes méthodes d’optimisation que ce soit au niveau électrique ou électromagnétique sont explicitées. Les modèles non linéaires de transistors impliqués dans nos conceptions sont de même détaillés. Le fruit de ces travaux concerne la conception d’amplificateurs distribués de puissance large bande à base de cellules cascode de HEMTs GaN, l’un étant reportés en flip-chip sur un substrat d’AlN, le second en technologie MMIC. La version MMIC permet d’atteindre 6. 3W sur la bande 4-18GHz à 2dB de compression. Ces résultats révèlent les fortes potentialités attendues des composants HEMTs GaN
The aim of this study is to assess the potentialities of HEMTs AlGaN/GaN transistors for RF power applications. The properties of wide band-gap materials and especially the GaN material are analysed in order to highlight their capabilities for applications to wideband power amplifiers. Modeling of passive components is explained and the design guide library on SiC substrate is implemented. Characterization results as well as linear and nonlinear simulations are presented on devices and circuits. The results of this work give concrete expression to the design of wideband power amplifiers showing a distributed architecture of cascode cells using GaN HEMTs, the first one flip-chip mounted onto an AlN substrate and the second one in MMIC technology. One MMIC version allows to obtain 6. 3W over a 4 to 18GHz bandwidth at 2dB compression input power. These results bring to light famous potentialities assigned to HEMTs GaN components
APA, Harvard, Vancouver, ISO, and other styles
6

Durand, Camille. "Etude thermomécanique expérimentale et numérique d'un module d'électronique de puissance soumis à des cycles actifs de puissance." Thesis, Valenciennes, 2015. http://www.theses.fr/2015VALE0007/document.

Full text
Abstract:
De nos jours, la durée de vie des modules d’électronique de puissance est désormais limitée par les technologies standards de conditionnement, telles que le câblage par fils et le brasage. Ainsi une optimisation des technologies actuellement employées n’est pas suffisante pour satisfaire les futures exigences de fiabilité. Pour dépasser ces limites, un nouveau module de puissance remplaçant les fils de connexion par des clips en cuivre a été développé. Ce design innovant vise à améliorer la fiabilité du module puisqu’il empêche la dégradation des fils de connexion, constituant bien souvent la principale source de défaillance. La contrepartie de ce gain de fiabilité réside dans la complexification de la structure interne du module. En effet, l’emploi d’un clip en cuivre nécessite une brasure supplémentaire fixant le clip à la puce. Ainsi, le comportement thermomécanique et les différents modes de rupture auxquels le composant est soumis lors de son utilisation doivent être caractérisés. Cette étude utilise la simulation numérique pour analyser avec précision le comportement de chaque couche de matériaux lors des cycles actifs de puissance. De plus, une étude de sensibilité à la fois expérimentale et numérique concernant les paramètres de tests est réalisée. Les zones critiques du module ainsi que les combinaisons critiques des paramètres de tests pour les différents modes de rupture sont mis en évidence. Par ailleurs, une analyse en mécanique de la rupture est conduite et la propagation des fissures à différentes zones clés est analysée en fonction des différents paramètres de tests. Les résultats obtenus permettent la définition de modèles de prédiction de durée de vie
Today a point has been reached where safe operation areas and lifetimes of power modules are limited by the standard packaging technologies, such as wire bonding and soft soldering. As a result, further optimization of used technologies will no longer be sufficient to meet future reliability requirements. To surpass these limits, a new power module was designed using Cu clips as interconnects instead of Al wire bonds. This new design should improve the reliability of the module as it avoids wire bond fatigue failures, often the root cause of device failures. The counterpart for an improved reliability is a quite complicated internal structure. Indeed, the use of a Cu clip implies an additional solder layer in order to fix the clip to the die. The thermo-mechanical behavior and failure mechanisms of such a package under application have to be characterized. The present study takes advantage of numerical simulations to precisely analyze the behavior of each material layer under power cycling. Furthermore an experimental and numerical sensitivity study on tests parameters is conducted. Critical regions of the module are pointed out and critical combinations of tests parameters for different failure mechanisms are highlighted. Then a fracture mechanics analysis is performed and the crack growth at different locations is analyzed in function of different tests parameters. Results obtained enable the definition of lifetime prediction models
APA, Harvard, Vancouver, ISO, and other styles
7

Souvignet, Thomas. "Contribution to the design of switched-capacitor voltage regulators in 28nm FDSOI CMOS." Thesis, Lyon, INSA, 2015. http://www.theses.fr/2015ISAL0043/document.

Full text
Abstract:
Les appareils multimédias portables nécessitent toujours plus d'innovation pour satisfaire les besoins des utilisateurs. Les fabricants de système-sur-puces font donc face à une forte demande en capacité de calcul jusqu'à lors réservée aux ordinateurs de bureau. Ce transfert de performance se répercute inévitablement sur la consommation de ces appareils alors que dans le même temps la capacité des batteries n'est pas en mesure de répondre à cet accroissement. De nombreux compléments matériels et logiciels sont mis en places afin d'économiser l'énergie au maximum sans toutefois dégrader les performances. La modulation de la fréquence de fonctionnement et de la tension d'alimentation est certainement la plus efficace mais reste néanmoins limitée par les coûts et les contraintes d'encombrement exigées par la taille des appareils. La réponse à un tel problème passe nécessairement par l'intégration d'une partie de l'alimentation dans la puce. La conversion DC-DC basée sur des convertisseurs à capacités commutées est prometteuse car elle permet de garder un maximum de compatibilité avec les process CMOS actuels. Cette thèse explore donc la conception d'une architecture d'alimentation utilisant des convertisseurs à capacités commutées. Un étage de puissance avec une tension d'entrée est de 1.8 V et des ratios programmables permet d'obtenir le rendement maximum pour une plage de tension de sortie allant de 0.3 à 1.2 V. La tension de sortie peut varier en fonction du point de fonctionnement requit par le système. Afin d'assurer le maximum de compatibilité avec la conception du circuit numérique à alimenter, une architecture modulaire basée sur les capacités MIM est privilégiée. Les capacités sont placées au dessus de la fonction numériques et les interrupteurs de puissance sont insérés à sa périphérie. Cette architecture permet également d'entrelacer les cellules de conversion afin de réduire l'ondulation de la tension de sortie. La fréquence de commutation du convertisseurs est communément utilisée pour réguler la tension de sortie et des stratégies de contrôles linéaires et non linéaires sont donc explorées. Un prototype de convertisseur présentant une densité de puissance de 310mW/mm2 pour un rendement de 72.5% a été fabriqué dans la technologie 28nm FDSOI de STMicroelectronics. La surface requise pour le convertisseur nécessite que 11.5% de la surface du circuit à alimenter. La méthodologie de conception du convertisseur a finalement été appliquée à un régulateur de tension dans le domaine négatif pour des applications de polarisation de caisson à basse consommation
Mobile and multimedia devices offer more innovations and enhancements to satisfy user requirements. Chip manufacturers thus propose high performances SoC to address these needs. Unfortunately the growth in digital resources inevitably increases the power consumption while battery life-time does not rise as fast. Aggressive power management techniques such as dynamic voltage and frequency scaling have been introduced in order to keep competitive and relevant solutions. Nonetheless continuing in this direction involves more disruptive solutions to meet space and cost constraints. Fully integrated power supply is a promising solution. Switched-capacitor DC-DC converters seem to be a suitable candidate to keep compatibility with the manufacturing process of digital SoCs. This thesis focuses on the design of an embedded power supply architecture using switched-capacitor DC-DC converters.Addressing a large range of output power with significant efficiency leads to consider a multi-ratio power stage. With respect to the typical digital SoC, the input voltage is 1.8 V and the converter is specified to deliver an output voltage in the 0.3-1.2 V range. The reference voltage is varying according to typical DVFS requirements. A modular architecture accommodates the digital design flow where the flying capacitors are situated above the digital block to supply and the power switches are located as an external ring. Such an architecture offers high flexibility. Interleaving strategy is considered to mitigate the output voltage ripple. Such a converter admits the switching frequency as a control variable and linear regulation and hysteretic control are analyzed. A prototype has been fabricated in 28nm FDSOI technology by STMicroelectronics. A power density of 310 mW/mm2 is achieved at 72.5% peak efficiency with a silicon area penalty of 11.5% of the digital block area. The successful design methodology has been also applied to the design of a negative SC converter for body-biasing purpose in FDSOI. Simulation results demonstrate a strong interest for low power application
APA, Harvard, Vancouver, ISO, and other styles
8

Thollin, Benoît. "Outils et méthodologies de caractérisation électrothermique pour l'analyse des technologies d'interconnexion de l'électronique de puissance." Thesis, Grenoble, 2013. http://www.theses.fr/2013GRENT005/document.

Full text
Abstract:
L'électronique de puissance et particulièrement les systèmes de conversions deviennent un enjeu majeur de la transition énergétique et de l'avenir des transports. Les contraintes technico-économiques liées aux nouvelles applications impliquent une augmentation des densités de puissance au sein des modules tout en limitant leur coût et en conservant une robustesse satisfaisante. Aujourd'hui, des solutions semblent émerger grâce à des structures innovantes associées aux composants grands gap et à l'intégration tridimensionnelle. Ces solutions apportent cependant un certain nombre de contraintes liées aux interconnexions électrothermomécaniques (ETM). L'augmentation des niveaux de température permis par les composants grands gap et l'attrait du refroidissement double face offert par les assemblages 3D augmentent de manière importante les contraintes thermomécaniques et causent des problèmes de fiabilité. C'est pourquoi de nouvelles interconnexions ETM sont développées pour s'adapter aux nouvelles contraintes et rendre possible ce saut technologique. Cependant les outils permettant la caractérisation thermique et électrique de ces nouvelles interconnexions restent à développer. Les travaux présentés dans ce mémoire se portent sur le développement et la mise au point d'outils de caractérisation des interconnexions dans des assemblages 3D. La difficulté d'obtenir la température du composant au sein du boîtier nous a poussé à explorer deux voies permettant d'estimer la température de jonction (TJ). Premièrement par l'implantation de capteurs de température et de tension au coeur d'un composant de puissance grâce la réalisation d'une puce de test spécifique. Et deuxièmement, par l'observation de la réponse en température de composants fonctionnels faisant appel à l'utilisation d'un paramètre électrique thermosensible (PTS) du composant. Les deux pistes explorées mettent à profit des solutions spécifiques innovantes pour permettre des caractérisations thermique et électrique fines des assemblages d'électronique de puissance
Power electronic and particularly conversion systems are becoming a major challenge for the future of energetic and transport systems. Technical and economic constraints related to new applications lead to an increase of module power densities while reducing cost and maintaining a good robustness. Today, solutions seem to emerge from innovative structures associated to wide band-gap semiconductors and three-dimensional integration. These solutions lead to many constraints in electro-thermo-mechanical (ETM) interconnection field. Temperature level rises allowed by wide band-gap semiconductors and attractiveness of double sided cooling provide by the 3D assemblies have significantly increase thermo-mechanical stresses and cause reliability problems. This is why new ETM interconnections are developed to facing those difficulties and enable this technological gap. However, thermal and electrical interconnections characterization tools need to be develop. Works presented in this thesis focuses on the development of tools for new interconnections characterization adapted to 3D package. The difficulty of obtaining the temperature of the component within the package has led us to explore two ways to estimate the junction temperature (TJ). In a first hand we integrate temperature and voltage sensors inside a power component in a clean room process thanks to the achievement of a specific thermal test chip (TTC). And in a second hand, by observing the temperature response of functional components, using a temperature-sensitive electrical parameter (TSEP). The both paths explored take advantage of innovative specific solutions to allow precise thermal and electrical characterization of power electronic assemblies
APA, Harvard, Vancouver, ISO, and other styles
9

Riva, Raphaël. "Solution d'interconnexions pour la haute température." Thesis, Lyon, INSA, 2014. http://www.theses.fr/2014ISAL0064/document.

Full text
Abstract:
Le silicium a atteint sa limite d’utilisation dans de nombreux domaines tels que l’aéronautique. Un verrou concerne la conception de composants de puissance pouvant fonctionner en haute température et/ou en haute tension. Le recours à des matériaux à large bande interdite tels que le carbure de Silicium (SiC) apporte en partie une solution pour répondre à ces besoins. Le packaging doit être adapté à ces nouveaux types de composants et nouveaux environnements de fonctionnement. Or, il s’avère que l’intégration planaire (2D), composé de fils de câblage et de report de composants par brasure, ne peut plus répondre à ces attentes. Cette thèse a pour objectif de développer un module de puissance tridimensionnel pour la haute température de type bras d’onduleur destiné à l’aéronautique. Une nouvelle structure 3D originale constituée de deux puces en carbure de silicium, d’attaches par frittage d’argent et d’une encapsulation par du parylène HT a été mise au point. Ses différents éléments constitutifs, les raisons de leur choix, ainsi que la réalisation pratique de la structure sont présentés dans ce manuscrit. Nous nous intéressons ensuite à un mode de défaillance particulier aux attaches d’argent fritté : La migration d’argent. Une étude expérimentale permet de définir les conditions de déclenchement de cette défaillance. Elle est prolongée et analysée par des simulations numériques
Silicon has reached its usage limit in many areas such as aeronautics. One of the challenges is the design of power components operable in high temperature and/or high voltage. The use of wide bandgap materials such as silicon carbide (SiC) provides in part a solution to meet these requirements. The packaging must be adapted to these new types of components and new operating environnement. However, it appears that the planar integration (2D), consisting of wire-bonding and soldered components-attach, can not meet these expectations. This thesis aims to develop a three dimensional power module for the high temperature aeronautics applications. A new original 3D structure made of two silicon carbide dies, silver-sintered die-attaches and an encapsulation by parylene HT has been developed. Its various constituting elements, the reason for their choice, and the pratical realization of the structure are presented in this manuscript. Then, we focus on a failure mode specific to silver-sintered attaches : The silver migration. An experimental study allows to define the triggering conditions of this failure. It is extended and analyzed by numerical simulations
APA, Harvard, Vancouver, ISO, and other styles
10

El, Khadiry Abdelilah. "Architectures de cellules de commutation monolithiques intégrables sur semi-conducteurs bi-puce et mono-puce pour convertisseurs de puissance compacts." Phd thesis, Toulouse 3, 2014. http://thesesups.ups-tlse.fr/2298/.

Full text
Abstract:
Dans le domaine de l'intégration hybride de puissance, l'opération de câblage des dispositifs semi-conducteurs de puissance est la cause de fortes interactions électriques parasites entre les inductances de connexion, les capacités parasites par rapport au plan de masse, les dispositifs de puissance eux même et leur électronique de commande rapprochée. Ces interactions constituent une source de pollution et d'auto-perturbation EMI d'une part et un facteur de limitation des performances et de la fiabilité d'autre part. La voie de l'intégration monolithique de puissance au sein d'un même cristal constitue une approche intéressante permettant de solutionner simultanément l'ensemble des problèmes induits par l'intégration hybride. Dans ce cadre, les travaux de cette thèse visent à étudier la faisabilité d'une approche d'intégration monolithique intermédiaire où une structure générique multiphasée est décomposée et intégrée sous la forme de deux macro-puces, chacune vient intégrer un réseau d'interrupteurs multiphasés partageant au moins une électrode commune. Chaque macro-puce est un "aiguilleur de courant" déclinée en deux versions : une version "high-side" à anode commune/face arrière de la macro-puce et une version "low-side" à cathode commune/face avant de la macro-puce. Ce mode d'intégration adresse des applications de conversion d'énergie de type DC/AC, AC/DC ou encore des interrupteurs de puissance quatre segments de faible et moyenne puissance. L'étude comporte : la modélisation par simulations physiques/électriques 2D de structures de puces proposées, la validation de la fonctionnalité recherchée sur le plan semi-conducteur (structure physique) et système (circuit électrique), la réalisation de puces "prototype" en salle blanche du LAAS puis les caractérisations préliminaires sous pointes et enfin l'étude de solutions d'assemblage 2D et 3D des puces réalisées sur substrat SMI/DBC constituant à terme des modules de puissance ultra compacts. Les perspectives scientifiques à ce travail reposent sur une approche d'intégration monolithique "ultime" des cellules de commutation au sein d'une seule puce. Cette approche reposerait sur la réunion et sur un agencement original des deux aiguilleurs initialement étudiés et profite des résultats de comparaison de leurs techniques d'assemblage
In the field of power hybrid integration, it is well known that wiring operation of power semiconductor devices is a source of strong parasitic electrical interactions between interconnections parasitic inductances, parasitic capacitances with respect to the ground plane, the power semiconductor devices themselves and the electronic control circuit. These interactions are a source of EMI on one hand and a factor limiting the performance and reducing the reliability of the power function on the other hand. Monolithic power integration is obviously the only approach to overcome some drawbacks of the hybrid integration. In this context, this thesis work studies the feasibility of a monolithic integration approach called "dual-chip". This power integration approach deals with the integration of the generic power converter circuit (AC/DC or DC/AC for low and medium power applications) in two complementary multi-switch power chips: A common anode/back-side multi-switch chip, and a common cathode/front-side multi-switch chip. The study includes: modeling by 2D physical/electrical simulations of the proposed structures, validation of their operating modes, realization of the chips in the micro and nanotechnology platform of the LAAS, electrical characterization of the chips and finally a study of 2D and 3D association techniques of the realized chips on SMI/DBC substrate. The scientific perspectives of this work are based on a promising integration approach called "single-chip". The resulting single-chip corresponds to the fusion of the two power chips used in the first approach and takes advantage of the conclusions made from their association techniques study
APA, Harvard, Vancouver, ISO, and other styles
11

Samir, Anass. "Conception de solutions basses puissances et optimisation de la gestion d'énergie de circuits dédiés aux applications mixtes." Thesis, Aix-Marseille, 2013. http://www.theses.fr/2013AIXM4700.

Full text
Abstract:
Depuis trois décennies, la tendance du marché répond à la demande actuelle de miniaturisation et d'augmentation de performances des appareils multimédias. Or, toute réduction des dimensions d'un facteur donné impose une diminution des tensions (pour des raisons de fiabilité). Afin d'y répondre, la réduction de taille des circuits intégrés CMOS atteint des échelles d'intégration submicroniques entrainant une baisse importante de la fiabilité des composants et en particulier des transistors. La création de porteurs chauds, ainsi que la dissipation thermique à l'intérieur des circuits submicroniques, sont les deux phénomènes physiques principaux à l'origine de la baisse de fiabilité. La solution technique permettant de garder un bon degré de fiabilité, tout en réduisant la taille des composants, consiste à réduire la tension d'alimentation des circuits. Parallèlement aux contraintes de performances, les normes environnementales demandent une consommation la plus réduite possible. La difficulté consiste alors en la réalisation de circuits associant une alimentation basse puissance (tension et courant) d'où la notion de circuits " Low Power ". Ces circuits sont pour certains déjà utilisés dans le domaine du multimédia, du médical, avec des contraintes d'intégration différentes (possibilité de composants externes, stabilité, etc.). L'augmentation des performances en vitesse des circuits digitaux nécessite par ailleurs l'utilisation de technologies générant des fuites de plus en plus importantes qui sont incompatibles avec une réduction de la consommation dans des modes de veille sans la mise en place de nouvelles techniques
For three decades, the market trend answers the current demand of miniaturization and performance increase of the multimedia devices. Yet, any reduction of the dimensions of a given factor imposes a decrease of the tensions (for reasons of reliability). To answer this question, the downsizing of CMOS integrated circuits reaches submicron scales of integration resulting in a significant decrease in the reliability of components and in particular transistors. The hot carriers creations, as well as heat dissipation within the submicron circuits, are the two main physical phenomena behind the reliability decline. The technical solution to maintain a good degree of reliability, while reducing component size, is to reduce the supply voltage of circuits. In parallel to performance constraints, environmental standards require consumption as small as possible. The challenge is then to build circuits combining low power supply (voltage and current) where the concept of circuits "Low Power". These circuits are used for some already in the field of multimedia, medical, integration with various constraints (possibility of external components, stability, etc..). The speed increase performance of digital circuits also requires the use of technologies that generate leaks increasingly important that are inconsistent with consumption reduction in standby modes without the introduction of new techniques
APA, Harvard, Vancouver, ISO, and other styles
12

El, Khadiry Abdelilah. "Architectures de cellules de commutation monolithiques intégrables sur semi-conducteurs "bi-puce" et "mono-puce" pour convertisseurs de puissance compacts." Phd thesis, Université Paul Sabatier - Toulouse III, 2014. http://tel.archives-ouvertes.fr/tel-01020587.

Full text
Abstract:
Dans le domaine de l'intégration hybride de puissance, l'opération de câblage des dispositifs semi-conducteurs de puissance est la cause de fortes interactions électriques parasites entre les inductances de connexion, les capacités parasites par rapport au plan de masse, les dispositifs de puissance eux même et leur électronique de commande rapprochée. Ces interactions constituent une source de pollution et d'auto-perturbation EMI d'une part et un facteur de limitation des performances et de la fiabilité d'autre part. La voie de l'intégration monolithique de puissance au sein d'un même cristal constitue une approche intéressante permettant de solutionner simultanément l'ensemble des problèmes induits par l'intégration hybride. Dans ce cadre, les travaux de cette thèse visent à étudier la faisabilité d'une approche d'intégration monolithique intermédiaire où une structure générique multiphasée est décomposée et intégrée sous la forme de deux macro-puces, chacune vient intégrer un réseau d'interrupteurs multiphasés partageant au moins une électrode commune. Chaque macro-puce est un "aiguilleur de courant" déclinée en deux versions : une version "high-side" à anode commune/face arrière de la macro-puce et une version "low-side" à cathode commune/face avant de la macro-puce. Ce mode d'intégration adresse des applications de conversion d'énergie de type DC/AC, AC/DC ou encore des interrupteurs de puissance quatre segments de faible et moyenne puissance. L'étude comporte : la modélisation par simulations physiques/électriques 2D de structures de puces proposées, la validation de la fonctionnalité recherchée sur le plan semi-conducteur (structure physique) et système (circuit électrique), la réalisation de puces "prototype" en salle blanche du LAAS puis les caractérisations préliminaires sous pointes et enfin l'étude de solutions d'assemblage 2D et 3D des puces réalisées sur substrat SMI/DBC constituant à terme des modules de puissance ultra compacts. Les perspectives scientifiques à ce travail reposent sur une approche d'intégration monolithique "ultime" des cellules de commutation au sein d'une seule puce. Cette approche reposerait sur la réunion et sur un agencement original des deux aiguilleurs initialement étudiés et profite des résultats de comparaison de leurs techniques d'assemblage.
APA, Harvard, Vancouver, ISO, and other styles
13

Li, Ming. "Optical waveguide chip-to-chip interconnection using grating couplers." Thesis, University of Oxford, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.282202.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Bennett, Mark. "Integrative analysis of ChIP-chip datasets in Saccharomyces cerevisiae." Thesis, Cardiff University, 2012. http://orca.cf.ac.uk/45401/.

Full text
Abstract:
ChIP-chip is a technology originally developed to determine the binding sites of proteins in chromatin on a genome wide scale. Its uses have since been expanded to analyse other genome features, such as epigenetic modifications and, in our laboratory, DNA damage. Datasets comprise many thousands of data points and therefore require bioinformatic tools for their analysis. Currently available tools are limited in their applications and lack the ability to normalise data so as to allow relative comparisons between different datasets. This has limited the analyses of multiple ChIP-chip datasets from different experimental conditions. The first part of the study presented here is bioinformatic, presenting a selection of tools written in R for ChIP-chip data analysis, including a novel normalisation procedure which allows datasets from different conditions to be analysed together, permitting comparisons of values between different experiments and opening up a new dimension of analysis of these datasets. A novel enrichment detection procedure is presented, suited to many formats of data, including protein binding (which forms peaks) and epigenetic modifications (which can form extended regions of enrichment). Graphical tools are also presented, to facilitate the analysis of these large datasets. A method of predicting the output of a ChIP-chip dataset is presented, which has been used to show that ChIP-chip is capable of detecting sequence dependent damage events. All functions work together, using a common data format, and are effcient and easy to use. The second part of this study applies these bioinformatic tools in a biological context. An analysis of Abf1 protein binding datasets has been undertaken, revealing many more binding sites than had previously been identified. Analysis of the sequences at these binding sites identifed the previously determined consensus binding motif in only a subset, with no novel motif identifiable in the remainder, suggesting binding may be in uenced by factors other than sequence.
APA, Harvard, Vancouver, ISO, and other styles
15

Dyer, Nigel. "Informative sequence-based models for fragment distributions in ChIP-seq, RNA-seq and ChIP-chip data." Thesis, University of Warwick, 2011. http://wrap.warwick.ac.uk/49963/.

Full text
Abstract:
Many high throughput sequencing protocols for RNA and DNA require that the polynucleic acid is fragmented so that the identity of a limited number of nucleic acids of one or both of the ends of the fragments can be determined by sequencing. The nucleic acid sequence allows the fragment to be located within the genome, and the fragment distribution can then be used for a variety of different purposes. In the case of DNA this includes identifying the locations where specific proteins are bound to the genome. In the case of RNA this includes quantifying the expression levels of different gene variants or transcripts. If the locations of the polynucleic acid fragments are partly determined by the underlying nucleic acid sequence this could bias any results derived from the data. Unfortunately, such sequence dependencies have already been observed in the distribution of both RNA and DNA fragments. Previous analyses of such data in order to reduce the bias have examined the role of regional characteristics such as GC bias, or the bias towards a specific sequence at the start of the fragments. This thesis introduces a new method for modelling the bias which considers the degree to which the nucleotide sequence affects the likelihood of a fragment originating at that location. This shows that there is often not a single bias characteristic, but multiple, alternative sequence biases that coexist within a single dataset. This also shows that the nucleotide sequence immediately proximal to the fragment also has a significant effect on the fragment likelihood. This new approach highlights characteristics that were previously hidden and provides a more powerful basis for correcting such bias. Multiple alternative sequence biases are observed when both RNA and DNA are fragmented, but the more detailed information provided by the new technique shows in detail how the characteristics are different for RNA and DNA and indicates that very different molecular mechanisms are responsible for the biases in the two processes. This thesis also shows how removing the effect of this bias in ChIP-seq experiments can reveal more subtle features of the distribution of the fragments. This can provide information on the nature of the binding between proteins and the DNA with per-nucleotide precision, revealed through the change in likelihood of the DNA fragmenting at each position in the binding site. It is also shown how the model fitting technique developed to analyse sequence bias can also be used to obtain additional information from the results of ChIP-chip experiments. The approach is used to find the nucleotide sequence preference of DNA binding proteins, and also the cooperative effects associated with binding at multiple binding sites in close proximity.
APA, Harvard, Vancouver, ISO, and other styles
16

Belfiore, Guido, Laszlo Szilagyi, Ronny Henker, and Frank Ellinger. "Low power laser driver design in 28nm CMOS for on-chip and chip-to-chip optical interconnect." SPIE, 2015. https://tud.qucosa.de/id/qucosa%3A34801.

Full text
Abstract:
This paper discusses the challenges and the trade-offs in the design of laser drivers for very-short distance optical communications. A prototype integrated circuit is designed and fabricated in 28 nm super-low-power CMOS technology. The power consumption of the transmitter is 17.2 mW excluding the VCSEL that in our test has a DC power consumption of 10 mW. The active area of the driver is only 0.0045 mm². The driver can achieve an error-free (
APA, Harvard, Vancouver, ISO, and other styles
17

Lightsey, Charles Hunter. "All-copper chip-to-substrate interconnections for flip-chip packages." Thesis, Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/34729.

Full text
Abstract:
Avatrel 8000P's excellent photo-definition properties and mechanical strength make it an ideal polymer collar material. Avatrel 8000P is a high contrast, I-line sensitive mixture that can be developed in traditional aqueous-base developers. The great photolithographical performance of this photopolymer can be partly contributed to the minimal amount of light absorbed by the base norbornene polymer. The processing conditions noted in this work are an optimized version, which have been shown to give superior photolithographical performance. The simple baking procedures make Avatrel 8000P easier to process than SU-8. The ability to develop Avatrel 8000P in aqueous base can reduce chemical waste. As shown by SEM images, high fidelity structures with aspect ratios of 7:1 can be fabricated in thick films with vertical sidewalls. Bonding between two copper surfaces over various gap sizes was achieved by electroless deposition without the addition of surfactants or inhibitors in the bath. The effect of anneal temperature on the electroless bond formed was analyzed. The electroless bond strength increased with anneal temperature. However, the bond strength estimation for samples annealed at 80°C to 120°C is a minimum value due to the failure location of most of the pillars and the resulting area used in the calculation of bond strength. Grain growth from copper recrystallization and removal of small defects improve the bond strength. Large voids at the interface of the two pillars were related to rough starting surfaces for the electroplated pillars.
APA, Harvard, Vancouver, ISO, and other styles
18

Choudhury, Abhishek. "Chip-last embedded low temperature interconnections with chip-first dimensions." Thesis, Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/37104.

Full text
Abstract:
Small form-factor packages with high integration density are driving the innovations in chip-to-package interconnections. Metallurgical interconnections have evolved from the conventional eutectic and lead-free solders to fine pitch copper pillars with lead-free solder cap. However, scaling down the bump pitch below 50-80µm and increasing the interconnect density with this approach creates a challenge in terms of accurate solder mask lithography and joint reliability with low stand-off heights. Going beyond the state of the art flip-chip interconnection technology to achieve ultra-fine bump pitch and high reliability requires a fundamentally- different approach towards highly functional and integrated systems. This research demonstrates a low-profile copper-to-copper interconnect material and process approach with less than 20µm total height using adhesive bonding at lower temperature than other state-of-the-art methods. The research focuses on: (1) exploring a novel solution for ultra-fine pitch (< 30µm) interconnections, (2) advanced materials and assembly process for copper-to-copper interconnections, and (3) design, fabrication and characterization of test vehicles for reliability and failure analysis of the interconnection. This research represents the first demonstration of ultra-fine pitch Cu-to-Cu interconnection below 200°C using non-conductive film (NCF) as an adhesive to achieve bonding between silicon die and organic substrate. The fabrication process optimization and characterization of copper bumps, NCF and build-up substrate was performed as a part of the study. The test vehicles were studied for mechanical reliability performance under unbiased highly accelerated stress test (U-HAST), high temperature storage (HTS) and thermal shock test (TST). This robust interconnect scheme was also shown to perform well with different die sizes, die thicknesses and with embedded dies. A simple and reliable, low-cost and low-temperature direct Cu-Cu bonding was demonstrated offering a potential solution for future flip chip packages as well as with chip-last embedded active devices in organic substrates.
APA, Harvard, Vancouver, ISO, and other styles
19

Lu, Mingying. "On chip control techniques for single chip CMOS video cameras." Thesis, University of Edinburgh, 1994. http://hdl.handle.net/1842/12479.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Sikder, Md Ashif Iqbal. "Emerging Technologies in On-Chip and Off-Chip Interconnection Network." Ohio University / OhioLINK, 2016. http://rave.ohiolink.edu/etdc/view?acc_num=ohiou1469028996.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Riede, Danielle Felice. "Paint Chip Dreams." VCU Scholars Compass, 2005. http://scholarscompass.vcu.edu/etd_retro/35.

Full text
Abstract:
This thesis summarizes Danielle Riede's current art practice by detailing her materials, processes and inspiration. It also contextualizes her "Room Paintings" within the context of art history and contemporary art-making.
APA, Harvard, Vancouver, ISO, and other styles
22

Iyer, Mahadevan Krishna. "A novel chip-to-chip radiative interconnection technique for gigabit logic multi-chip modules using leaky wave antennas." Thesis, Loughborough University, 1994. https://dspace.lboro.ac.uk/2134/27246.

Full text
Abstract:
Multi-Chip Modules (MCMs) are considered as the next major step in the evolution of high performance microelectronic packaging. Advances in the performance of very high speed MCMs are limited by problems associated with interconnecting devices using metallic interconnects within the module. The need for faster interconnects for gigabit logic MCMs has been discussed in this thesis. Techniques to avoid the problem of taking high speed interconnections through multilayer substrates include radiative and optical techniques. The present research work concentrates on a radiative interconnection technique.
APA, Harvard, Vancouver, ISO, and other styles
23

Yao, Yuan. "Fuzzy Flow Regulation for Network-on-Chip based Chip Multiprocessors Systems." Thesis, KTH, Skolan för informations- och kommunikationsteknik (ICT), 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-177441.

Full text
Abstract:
As large uniprocessors are no longer scaling in performance, chip multiprocessors (CMP) become the mainstream to build high-performance computers. CMP chips integrate various components such as processing cores, L1 caches and L2 caches (some also contain L3 caches, for example, in the IBM Power7 multicore processor) together, and multiple CMP chips with external memory banks make up a CMP system. As buses (although long the mainstay of system interconnect) are unable to keep up with increasing performance requirements, network-on-chip (NoC) offers an attractive solution to this communication crisis and is becoming the pervasive interconnection network in CMPs. In NoC based CMP systems, regulating traffic flows has been shown to be an effective means to improve communication performance and reduce buffer requirements. However, existing flow regulation policies such as the ones describe in [8] and [9] are all static. The parameters (δ,ρ) of the regulators are hard-coded during system configuration, where δ bounds the traffic burst and ρ the traffic rate. Although static flow regulator can be used as a design instrument for System-on-Chip (SoC) architects to control quality-of-service and achieve cost-effective communication, the drawbacks from its static property cancel the gains in some situations. In this thesis, we design a fuzzy flow regulation mechanism for network-onchip based CMPs. Being different from static flow regulation policy, our system makes regulation decisions dynamically according to the state of interconnection network. We use fuzzy logic to mimic the behaviors of an expert that validly controls the admission of input flows, with the aim of making better use of on-chip resources and decreasing communication delays. We implement and test our design under Multi-facet’s General Executiondriven Multiprocessor Simulator (GEMS), which creates a platform that is similar to real CMP environment. Hardware imitating models such as L1 caches, L2 caches and memory banks help us to test our design thoroughly and comprehensively. The experiments are done with both closed-loop and open-loop methods. Comparisons have been made between our design and static regulation policy. The results show that our fuzzy flow regulation system can make good regulation policy with all the testing cases.
APA, Harvard, Vancouver, ISO, and other styles
24

Shah, Chintan Hemendra. "Inductively Coupled Interconnect for Chip to Chip Communication over Transmission Line." NCSU, 2009. http://www.lib.ncsu.edu/theses/available/etd-04012009-003531/.

Full text
Abstract:
As data frequency increases beyond several Gbps range, low power chip to chip communication becomes more critical. The concept researched in this thesis is inductively coupled interconnect (LCI) over short length transmission line. The data will be transmitted across a 10 cm differential microstrip line on FR-4 material with a transformer on each side of the line. The transmitter and receiver circuits are designed in TSMC 0.18μm process technology and can operate at 2.5 Gbps. The power consumption of the design is 5.53 mW at 2.5 Gbps which yields around 2.21 mW.Gb-1.s-1. This design can achieve BER of less than 10-12. The inductive coupling will reduce DC power because the low frequency DC component of the signal will be blocked by coupling inductors. The power consumed by this design is lower than most of the conventional I/Os that use physical contact interconnects. An H-bridge current steering driver is used at the transmitter and a differential amplifier and Sense-amp Flip flop is used at the receiver.
APA, Harvard, Vancouver, ISO, and other styles
25

Hua, Jiang. "Chip mechanics and its influence on chip segmentation and tool wear /." The Ohio State University, 2002. http://rave.ohiolink.edu/etdc/view?acc_num=osu1486457871783964.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Hollis, Timothy M. "Circuit and modeling solutions for high-speed chip-to-chip communication /." Diss., CLICK HERE for online access, 2007. http://contentdm.lib.byu.edu/ETD/image/etd1721.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Hollis, Timothy Mowry. "Circuit and Modeling Solutions for High-Speed Chip-to-Chip Communication." BYU ScholarsArchive, 2007. https://scholarsarchive.byu.edu/etd/1067.

Full text
Abstract:
This dissertation presents methods for modeling and mitigating voltage noise and timing jitter across high-speed chip-to-chip interconnects. Channel equalization and associated tuning schemes have been developed to target the distinct characteristics and signal degradation exhibited in the clock and data signals of multi-Gigabit/second digital communication links. Multiple methods for generating realistically degraded signals for the purpose of simulation are also presented and used to verify the proposed equalization and filtering topologies. Specifically, a new technique for modeling high-speed jittery clocks in the frequency domain is presented and shown to reduce transient simulation time and memory requirements, while simultaneously improving the timing resolution and accuracy of the simulation by minimizing the dependence on the transient simulation time-step. The technique is further developed to provide unprecedented control over the timing characteristics of the generated signals, and is then extended to the generation of random data signals with definable jitter statistics. Through these techniques,realistic clock and data waveforms are constructible, providing for the visualization of the combined effects of voltage and timing degradation, while at the same time tracking the phase relationship between the clock and data signals as they pass across their respective channels and through the receiving circuitry of the communication link. New methods for the automated tuning of second-order continuous-time channel equalizers are proposed based on the simulated or measured single pulse and double pulse responses of the transmission channel. Using only one degree of freedom, the methods target the reduction of inter-symbol interference (ISI) as identified in the single and double pulses. Through tuning either the circuit quality factor (Q), the peaking frequency, or the frequency zero, the methods are shown to adapt to a variety of channel lengths and datarates from the same original equalizer transfer function, implying a good degree of generality, while offering a simple, yet effective, method for ISI reduction. Finally, the design of an active 5 Gigahertz (GHz) bandpass filter, employed for high-speed clock conditioning, is presented and shown to address both random and deterministic components of the clock signal degradation. The bandpass transfer function is achieved through a combination of AC coupling and a resonant LC tank consisting of on-chip interleaved spiral inductors and a tunable capacitor array. Through adjusting the load capacitance in parallel with the inductors, the center frequency of the filter is tunable over a range of nearly 5GHz. The design targets a supply voltage of 1.2 volts and draws approximately 5.7 milliamps of current.
APA, Harvard, Vancouver, ISO, and other styles
28

Lu, Zhonghai. "Design and Analysis of On-Chip Communication for Network-on-Chip Platforms." Doctoral thesis, KTH, Elektronik- och datorsystem, ECS, 2007. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-4290.

Full text
Abstract:
Due to the interplay between increasing chip capacity and complex applications, System-on-Chip (SoC) development is confronted by severe challenges, such as managing deep submicron effects, scaling communication architectures and bridging the productivity gap. Network-on-Chip (NoC) has been a rapidly developed concept in recent years to tackle the crisis with focus on network-based communication. NoC problems spread in the whole SoC spectrum ranging from specification, design, implementation to validation, from design methodology to tool support. In the thesis, we formulate and address problems in three key NoC areas, namely, on-chip network architectures, NoC network performance analysis, and NoC communication refinement. Quality and cost are major constraints for micro-electronic products, particularly, in high-volume application domains. We have developed a number of techniques to facilitate the design of systems with low area, high and predictable performance. From flit admission and ejection perspective, we investigate the area optimization for a classical wormhole architecture. The proposals are simple but effective. Not only offering unicast services, on-chip networks should also provide effective support for multicast. We suggest a connection-oriented multicasting protocol which can dynamically establish multicast groups with quality-of-service awareness. Based on the concept of a logical network, we develop theorems to guide the construction of contention-free virtual circuits, and employ a back-tracking algorithm to systematically search for feasible solutions. Network performance analysis plays a central role in the design of NoC communication architectures. Within a layered NoC simulation framework, we develop and integrate traffic generation methods in order to simulate network performance and evaluate network architectures. Using these methods, traffic patterns may be adjusted with locality parameters and be configured per pair of tasks. We propose also an algorithm-based analysis method to estimate whether a wormhole-switched network can satisfy the timing constraints of real-time messages. This method is built on traffic assumptions and based on a contention tree model that captures direct and indirect network contentions and concurrent link usage. In addition to NoC platform design, application design targeting such a platform is an open issue. Following the trends in SoC design, we use an abstract and formal specification as a starting point in our design flow. Based on the synchronous model of computation, we propose a top-down communication refinement approach. This approach decouples the tight global synchronization into process local synchronization, and utilizes synchronizers to achieve process synchronization consistency during refinement. Meanwhile, protocol refinement can be incorporated to satisfy design constraints such as reliability and throughput. The thesis summarizes the major research results on the three topics.
QC 20100525
APA, Harvard, Vancouver, ISO, and other styles
29

Avdic, Kenan. "On-chip Pipelined Parallel Mergesort on the Intel Single-Chip Cloud Computer." Thesis, Linköpings universitet, Programvara och system, 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-111513.

Full text
Abstract:
With the advent of mass-market consumer multicore processors, the growing trend in the consumer off-the-shelf general purpose processor industry has moved away from increasing clock frequency as the classical approach for achieving higher performance. This is commonly attributed to the well-known problems of power consumption and heat dissipation with high frequencies and voltage. This paradigm shift has prompted research into a relatively new field of "many-core" processors, such as the Intel Single-chip Cloud Computer. The SCC is a concept vehicle, an experimental homogenous architecture employing 48 IA32 cores interconnected by a high-speed communication network. As similar multiprocessor systems, such as the Cell Broadband Engine, demonstrate a significantly higher aggregate bandwidth in the interconnect network than in memory, we examine the viability of a pipelined approach to sorting on the Intel SCC. By tailoring an algorithm to the architecture, we investigate whether this is also the case with the SCC and whether employing a pipelining technique alleviates the classical memory bottleneck problem or provides any performance benefits. For this purpose, we employ and combine different classic algorithms, most significantly, parallel mergesort and samplesort.
APA, Harvard, Vancouver, ISO, and other styles
30

Beacham, Brent Alan. "A high-speed chip to chip interconnection circuit for FPGA emulation systems." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2001. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/MQ58788.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Mehrotra, Gaurav. "Ultra thin ultrafine-pitch chip-package interconnections for embedded chip last approach." Thesis, Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/22594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Santoro, Gianmarco. "Mixed alloy chip extrusion." Bachelor's thesis, Alma Mater Studiorum - Università di Bologna, 2018.

Find full text
Abstract:
The aim of the investigation is to characterize the behaviour of billets composed of different aluminium alloys chips, shape and size. The billets were processed by plastic deformation in order to obtain useful parameters to make final products with good aesthetic-structural characteristics. This is made possible by direct recycle of chips with plastic deformation processes, in specific with a chip-based hot extrusion process. This technique is very interesting at an industrial level where the chips coming from various aluminium alloy machining procedures are accumulated together. The classic secondary aluminium production process by re-melting already saves 95% of energy in comparison to primary aluminium production from mineral (Bauxite). As previously shown from researches on Chip Extrusion, there is a higher energy saving through direct extrusion of chips and scraps pre-compacted in chip-based billets without re-melting. The research has the objective of testing the optimal combination of process parameters for different mixes of alloys in order to obtain the desired profiles; at the same time a FEM (Finite Element Method) simulation is implemented with HyperExtrude and MatLab software. The code is expected to describe processes with different parameters and give results similar to the experimental ones.
APA, Harvard, Vancouver, ISO, and other styles
33

Bengtsson, Carl Johan. "SmartMedia-controller på chip." Thesis, Linköping University, Department of Electrical Engineering, 2002. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-1127.

Full text
Abstract:

This report deals with the design of a controller for SmartMedia™ flash memory cards, based on a hardware description found in the SmartMedia™ Interface Library - SMIL.

The design was made on logic gate level, using standard cells in OrCAD Capture. After simulation of the design in PSpice A/D, it was exported as an EDIF netlist, which was used to make a chip layout in L-Edit, a layout tool for making integrated circuits. The layout was made using a method called Standard Place and Route - SPR, where the layout tool places standard cells from a library and connects them according to the EDIF netlist.

A netlist which could be simulated in PSpice was extracted from the finished chip layout to verify that the function of the design was the same as before the transition from schematic to layout.

The standard cells in the library used to make the chip layout have to meet certain criteria in order for both SPR and extraction to work and this is also discussed.

APA, Harvard, Vancouver, ISO, and other styles
34

Forsgren, Niklas. "Sampling Ocsilloscope On-Chip." Thesis, Linköping University, Department of Electrical Engineering, 2003. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-1563.

Full text
Abstract:

Signal-integrity degradation from such factors as supply and substrate noise and cross talk between interconnects restricts the performance advances in Very Large Scale Integration (VLSI). To avoid this and to keep the signal-integrity, accurate measurements of the on-chip signal must be performed to get an insight in how the physical phenomenon affects the signals.

High-speed digital signals can be taken off chip, through buffers that add delay. Propagating a signal through buffers restores the signal, which can be good if only information is wanted. But if the waveform is of importance, or if an analog signal should be measured the restoration is unwanted. Analog buffers can be used but they are limited to some hundred MHz. Even if the high-speed signal is taken off chip, the bandwidth of on-chip signals is getting very high, making the use of an external oscilloscope impossible for reliable measurement. Therefore other alternatives must be used.

In this work, an on-chip measuring circuit is designed, which makes use of the principle of a sampling oscilloscope. Only one sample is taken each period, resulting in an output frequency much lower than the input frequency. A slower signal is easier to take off-chip and it can easily be processed with an ordinary oscilloscope.

APA, Harvard, Vancouver, ISO, and other styles
35

Farner, William Robert. "On-chip probe metrology /." Online version of thesis, 2008. http://hdl.handle.net/1850/6207.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Yang, Suwen. "On-chip surfing interconnect." Thesis, University of British Columbia, 2010. http://hdl.handle.net/2429/23597.

Full text
Abstract:
With growing chip sizes and operating frequencies, on-chip global interconnect has become a critical bottleneck for CMOS technology. With processes scaling into deep submicron scales, the gap between gate delay and global-interconnect delay increases with each technology generation. Bandwidth is also important for on-chip interconnect and is limited by skew and jitter. Due to temperature variation, crosstalk noise, power supply variation and parameter variation, timing variation increases with the length of global interconnect lines. Jitter and skew in the transmitter and receiver's clocks add timing variation to on-chip interconnect communication. Repeaters in a buffering technique amplify clock jitter and drop pulses due to intersymbol interference. Latches can be inserted in place of some of the buffers to control the timing variation. However, these latches increase latency and power consumption. In 2002, a novel circuit technique called ``surfing'' was proposed to bound the timing uncertainty in wave pipelines~\cite{Winters02}. This thesis extends the application of surfing to on-chip interconnects and introduces surfing RC interconnect and surfing LC interconnect techniques. For RC interconnects, we present a jitter attenuating buffer. This buffer uses inverters with variable output strength to implement a simple, low-gain DLL. Chains of these surfing buffers attenuate jitter making them well suited for source-synchronous interconnect. Furthermore, our chains can be used to reliably transmit handshaking signals and support sliding-window protocols to improve the throughput of asynchronous communication. We use distributed varactors to dynamically vary the latency of LC interconnects and thus effect surfing. Different from RC signaling, signals on LC interconnect propagate at nearly the speed-of-light. The varactors not only modulate the line latency, but also sharpen the edges of signals. We present both a full-swing and a low-swing LC interconnect designs. In both interconnects, the jitter and skew are attenuated along the line due to the surfing effect. In the low swing interconnect, the surfing effect also helps to reshape the pulses to increase the eye height. To demonstrate these techniques in real silicon, we designed, fabricated and tested a chip. The testing results show that surfing LC interconnects are promising for deep submicron technology.
APA, Harvard, Vancouver, ISO, and other styles
37

Wu, Wei-Chung. "On-chip charge pumps." Diss., Georgia Institute of Technology, 2001. http://hdl.handle.net/1853/13451.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Guo, Chuan. "A Magnetophoretic Bioseparation Chip." Thesis, Southern Illinois University at Edwardsville, 2014. http://pqdtopen.proquest.com/#viewpdf?dispub=1560826.

Full text
Abstract:

This thesis presents the modeling, design, fabrication, and testing of a magnetophoretic bioseparation chip for isolation of biomaterials such as cells, antigens or DNA from their native environment. This microfluidic-based bioseparation device has several unique features, including locally engineered magnetic field gradients and a continuous flow with a buffer switching scheme to improve the performance of the separation process. The overall dimensions of the device are 25 mm by 75 mm by 1 mm. The cell purity was found to increase with increasing the sample flow rate. However, the cell recovery decreases with an increase in the flow rate. A compressive parametric study is performed to investigate the effects of channel height, substrate thickness, magnetic bead size, cell size, flow rate, and the number of beads per cell on the cell separation performance.

APA, Harvard, Vancouver, ISO, and other styles
39

Wood, Christopher David. "On-chip THz systems." Thesis, University of Leeds, 2006. http://etheses.whiterose.ac.uk/2054/.

Full text
Abstract:
A variety of novel on-chip terahertz systems have been developed with the aim of advancing current on-chip spectroscopic techniques. A novel methodology for the fabrication and positioning of isolated regions of photoconductive LT-GaAs thin film has been developed, allowing generation and detection of THz signals in-plane with a microstrip transmission line device. Devices with bandwidths in excess of 1.2 THz have been demonstrated. The introduction of novel, THz frequency passive resonator elements, in the form of quarter-wave band stop filters, into a transmission line device allowed measurements of the properties of overlaid dielectric films by monitoring of the resonant shift. Cascading multiple resonators along a single interconnect allowed simultaneous measurements to be performed at isolated frequencies at discrete locations on a single device. The first full characterisation of the resonant shift as a function of load thickness for a THz filter is performed, with measurements obtained in excellent agreement with subsequent free space studies, whilst requiring a 10' reduction in sample volume. Design modifications provided ultrahigh spectroscopic resolution in the terahertz range of <2 GHz, allowing the measurement of overlaid films of DNA in single and double stranded form down to quantities of 0.211 femtomoles, almost double the sensitivity, in terms of average relative resonant shift per base pair, when compared to literature values. The active region of the devices designed for this work is N 123 times less than existing topologies and therefore represents an increase in resonant shift per unit active area of two orders of magnitude.
APA, Harvard, Vancouver, ISO, and other styles
40

Piccolomo, Savino. "Chip-scale atomic magnetometer." Thesis, University of Strathclyde, 2016. http://digitool.lib.strath.ac.uk:80/R/?func=dbin-jump-full&object_id=27528.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Reale, Riccardo. "Microfluidic airway on-chip." Thesis, University of Southampton, 2017. https://eprints.soton.ac.uk/420762/.

Full text
Abstract:
Organs-on-chip are a new class of in vitro devices aimed at improving the predictivity of preclinical drug testing models by integrating physiologically relevant features in cell culture devices using microfabrication techniques. In human bodies, epithelial tissues are the first line of defence against the external environment and act as barriers by expressing inter-cellular protein complexes called tight junctions (TJ). The epithelial physical barrier has selective permeability properties which can experimentally be measured by electrical means. In this thesis, the design, simulation, modelling, optimisation, fabrication and experimental characterisation of a novel organ-on-chip device for epithelial cell culture and epithelial barrier monitoring are described. In the device, cells are cultured on top of a nanoporous support, fed by constant perfusion of growth medium and barrier properties are monitored in real-time with integrated coplanar Pt/Pt-black electrodes. Finite element method (FEM) simulations were used to develop a new coplanar electrode design which achieved greater sensitivity (45-fold) compared to the other coplanar designs presented in the literature. This design was formed by 2 circular segments electrodes divided by a polymeric septum. The high sensitivity of the novel electrode design enabled the measurement of epithelial electrical properties directly at the air-liquid interface (ALI) and was used to monitor disruptions in the barrier properties of primary bronchial epithelial cells (PBECs) cultured on commercial supports (Transwell®) induced by a calcium chelator (EGTA). The measured barrier disruption was comparable to those measured by standard systems without requiring a submerged culture. The microfluidic device was used to monitor the establishment of the physical barrier under submerged conditions for 6 days of the human bronchial epithelial cell line (16HBE14o-) and disruption of the physical barrier induced by stimulation with a viral mimic (poly(I:C)). All results were comparable to the ones measured by standard systems. This platform is an easy-to-manufacture alternative to available systems with the unique potential to enable the real-time epithelial barrier monitoring under submerged or ALI conditions.
APA, Harvard, Vancouver, ISO, and other styles
42

Hopkins, Samuel F. "Root system chip-firing." Thesis, Massachusetts Institute of Technology, 2018. http://hdl.handle.net/1721.1/117780.

Full text
Abstract:
Thesis: Ph. D., Massachusetts Institute of Technology, Department of Mathematics, 2018.
This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Cataloged from student-submitted PDF version of thesis.
Includes bibliographical references (pages 195-200).
This thesis investigates an extension of the classical chip-firing process to "other Cartan-Killing types." In Chapter 1 we review the classical chip-firing game: the states of this process are configurations of chips on the vertices of a graph; the transition moves are firings whereby a vertex with at least as many chips as neighbors may send one chip to each neighbor. A fundamental property of chip-firing is that it is confluent: from any initial configuration, all sequences of firings lead to the same terminal configuration. In Chapter 2 we discuss Propp's labeled chip-firing process on the infinite path, for which confluence becomes a subtler question. We prove that labeled chip-firing is confluent starting from an even number of chips at the origin (but not from an odd number). In Chapter 3 we reinterpret labeled chip-firing as a process on the weight lattice of a root system, where the firing moves consist of adding a positive root whenever the weight we are at is orthogonal to that root. We call this the central-firing process. We give conjectures about certain initial weights from which central-firing is confluent. We also prove that central-firing is always confluent from all initial weights if we mod out by the action of the Weyl group, thereby giving a generalization of unlabeled chip firing on the infinite path to other types. In Chapter 4 we introduce some remarkable deformations of the central-firing process which we call the symmetric and truncated interval-firing processes. These are analogous to the Catalan and Shi hyperplane arrangements. We prove that these interval-firing processes are always confluent from all initial weights. In Chapter 5 we study the set of weights with given interval-firing stabilization. We show that the number of weights with given stabilization is a polynomial in our deformation parameter. We call these polynomials the symmetric and truncated Ehrhart-like polynomials, because they are analogous to the Ehrhart polynomial of a polytope. We conjecture that the Ehrhart-like polynomials have nonnegative integer coefficients. In Chapter 6 we prove "half" of this positivity conjecture by providing an explicit, positive formula for the symmetric Ehrhart-like polynomials.
by Samuel Francis Hopkins.
Ph. D.
APA, Harvard, Vancouver, ISO, and other styles
43

Akbar, Muhammad. "Chip-Scale Gas Chromatography." Diss., Virginia Tech, 2015. http://hdl.handle.net/10919/56566.

Full text
Abstract:
Instrument miniaturization is led by the desire to perform rapid diagnosis in remote areas with high throughput and low cost. In addition, miniaturized instruments hold the promise of consuming small sample volumes and are thus less prone to cross-contamination. Gas chromatography (GC) is the leading analytical instrument for the analysis of volatile organic compounds (VOCs). Due to its wide-ranging applications, it has received great attention both from industrial sectors and scientific communities. Recently, numerous research efforts have benefited from the advancements in micro-electromechanical system (MEMS) and nanotechnology based solutions to miniaturize the key components of GC instrument (pre-concentrator/injector, separation column, valves, pumps, and the detector). The purpose of this dissertation is to address the critical need of developing a micro GC system for various field- applications. The uniqueness of this work is to emphasize on the importance of integrating the basic components of μGC (including sampling/injection, separation and detection) on a single platform. This integration leads to overall improved performance as well as reducing the manufacturing cost of this technology. In this regard, the implementation of micro helium discharge photoionization detector (μDPID) in silicon-glass architecture served as a major accomplishment enabling its monolithic integration with the micro separation column (μSC). For the first time, the operation of a monolithic integrated module under temperature and flow programming conditions has been demonstrated to achieve rapid chromatographic analysis of a complex sample. Furthermore, an innovative sample injection mechanism has been incorporated in the integrated module to present the idea of a chip-scale μGC system. The possibility of using μGC technology in practical applications such as breath analysis and water monitoring is also demonstrated. Moreover, a nanotechnology based scheme for enhancing the adsorption capacity of the microfabricated pre-concentrator is also described.
Ph. D.
APA, Harvard, Vancouver, ISO, and other styles
44

Conkey, Donald B. "On-Chip Atomic Spectroscopy." Diss., CLICK HERE for online access, 2007. http://contentdm.lib.byu.edu/ETD/image/etd1746.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Mori, Brett Cote John Rowland Kyle Wells Micah. "Chip removal tool project /." Click here to view, 2009. http://digitalcommons.calpoly.edu/mesp/9.

Full text
Abstract:
Thesis (B.S.)--California Polytechnic State University, 2009.
Project advisor: James Meagher. Title from PDF title page; viewed on Jan. 20, 2010. Includes bibliographical references. Also available on microfiche.
APA, Harvard, Vancouver, ISO, and other styles
46

Xia, Tian. "On-chip timing measurement /." View online ; access limited to URI, 2003. http://0-wwwlib.umi.com.helin.uri.edu/dissertations/dlnow/3112132.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

PEROTTO, SARA. "On chip optical sensing." Doctoral thesis, Università degli studi di Genova, 2019. http://hdl.handle.net/11567/939992.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Spencer, Todd Joseph. "Air-gap transmission lines on printed circuit boards for chip-to-chip interconnections." Diss., Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/34754.

Full text
Abstract:
Low-loss off-chip interconnects are required for energy-efficient communication in dense microprocessors. To meet these needs, air cavity parallel plate and microstrip lines with copper conductors were fabricated on an FR-4 epoxy-fiberglass substrate using conventional microelectronics manufacturing techniques. Copper transmission lines were separated by a composite dielectric of air and Avatrel 2000P and by a dielectric layer of air only. The composite dielectric lines were characterized to 10 GHz while the all air dielectric lines were characterized to 40 GHz. The transmission line structures showed loss as low 1.5 dB/cm at 40 GHz with an effective dielectric constant below 1.4. These novel structures show low loss in the dielectric due to the reduced relative permittivity and loss tangent introduced by the air cavity. Transmission line structures with a composite dielectric were built by coating the sacrificial polymer poly(propylene carbonate) (PPC) over a copper signal line, encapsulating with an overcoat polymer, electroplating a ground line, and decomposing PPC to form an air cavity. The signal and ground wires were separated by a layer of 15 µm of air and 20 µm of Avatrel 2000P. Air cavity formation reduced dielectric constant more than 30 percent and loss of less than 0.5 dB/cm was measured at 10 GHz. Residue from PPC decomposition was observed in the cavity of composite dielectric structures and the decomposition characteristics of PPC were evaluated to characterize the residue and understand its formation. Analysis of PPC decomposition based on molecular weight, molecular backbone structure, photoacid concentration and vapor pressure, casting solvent, and decomposition environment was performed using thermogravimetric analysis and extracting kinetic parameters. Novel interaction of copper and PPC was observed and characterized for the self-patterning of PPC on copper. Copper is dissolved from the surface during PPC spincoating and interacts with the polymer chains to improve stability. The improved thermal stability allows selective patterning of PPC on copper. Decomposition characteristics, residual metals analysis, and diffusion profile were analyzed. The unique interaction could simplify air-gap processing for transmission lines. Inorganic-organic hybrid polymers were characterized for use as overcoat materials. Curing characteristics of the monomers and mechanical properties of the polymer films were analyzed and compared with commercially available overcoat materials. The modulus and hardness of these polymers was too low for use as an air-gap overcoat, but may be valuable as a barrier layer for some applications. The knowledge gained from building transmission line structures with a composite dielectric, analyzing PPC decomposition, interaction with copper, and comparison of hybrid polymers with commercial overcoats was used to build air-gap structures with improved electrical design. The ground metal was separated from the signal only by air. The signal wire was supported from above using 60 µm of Avatrel 8000P as an overcoat. Structures showed loss of less than 1.5 dB/cm at 40 GHz, the lowest reported value for a fully encapsulated transmission line structure.
APA, Harvard, Vancouver, ISO, and other styles
49

Luo, Lei. "Coupled chip-to-chip interconnect design." 2005. http://www.lib.ncsu.edu/theses/available/etd-12062005-134654/unrestricted/etd.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Wu, Ching-Chieh, and 吳慶傑. "On-Chip and Inter-Chip Bidirectional Transceivers." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/99279752450182947588.

Full text
Abstract:
碩士
輔仁大學
電子工程學系
93
As the VLSI process is scaled down, a single IC possibly contains an entire system (system-on- chip (SOC)). As the device size scaled down, Gate delay is reduced. The interconnection width is so narrow that the delay of the interconnection becomes larger. Therefore, the interconnect delay dominants the global chip delay in very deep sub-micron era. Various methods of reducing the interconnect delay have been investigated. One of them is to use the material of the interconnection copper and lower dielectric constant to reduce the resistance and capacitance. The others are to use special receivers for the reduction of long interconnection RC delay. In this thesis, we propose several new circuits. 1. Drain-Switch-Current-Source (DSCS) 2. Self-Bias-Current-Source (SBCS) 3. Gate-Switch-Current-Source (GSCS) 4. Diode-Connected-Current-Source (DCCS) 5. Switch-Bias-Current-Source (SWBCSN) 6. Switch-Bias-Current-Source (SWBCSP) 7. Gate-Switch with Terminator-Resistor (GSTRN) 8. Gate-Switch with Terminator-Resistor (GSTRP) In this thesis, in addition to the simulation of the proposed circuits, and one test chip using TSMC 0.18μm 1P6M process with experimental circuits are designed and measured to verify the speed performance of the long interconnection design.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!