Academic literature on the topic 'PUF Physically imcloneable function'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'PUF Physically imcloneable function.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "PUF Physically imcloneable function"

1

Chattopadhyay, Saranyu, Pranesh Santikellur, Rajat Subhra Chakraborty, Jimson Mathew, and Marco Ottavi. "A Conditionally Chaotic Physically Unclonable Function Design Framework with High Reliability." ACM Transactions on Design Automation of Electronic Systems 26, no. 6 (November 30, 2021): 1–24. http://dx.doi.org/10.1145/3460004.

Full text
Abstract:
Physically Unclonable Function (PUF) circuits are promising low-overhead hardware security primitives, but are often gravely susceptible to machine learning–based modeling attacks. Recently, chaotic PUF circuits have been proposed that show greater robustness to modeling attacks. However, they often suffer from unacceptable overhead, and their analog components are susceptible to low reliability. In this article, we propose the concept of a conditionally chaotic PUF that enhances the reliability of the analog components of a chaotic PUF circuit to a level at par with their digital counterparts. A conditionally chaotic PUF has two modes of operation: bistable and chaotic , and switching between these two modes is conveniently achieved by setting a mode-control bit (at a secret position) in an applied input challenge. We exemplify our PUF design framework for two different PUF variants—the CMOS Arbiter PUF and a previously proposed hybrid CMOS-memristor PUF, combined with a hardware realization of the Lorenz system as the chaotic component. Through detailed circuit simulation and modeling attack experiments, we demonstrate that the proposed PUF circuits are highly robust to modeling and cryptanalytic attacks, without degrading the reliability of the original PUF that was combined with the chaotic circuit, and incurs acceptable hardware footprint.
APA, Harvard, Vancouver, ISO, and other styles
2

Komano, Yuichi, Kazuo Ohta, Kazuo Sakiyama, Mitsugu Iwamoto, and Ingrid Verbauwhede. "Single-Round Pattern Matching Key Generation Using Physically Unclonable Function." Security and Communication Networks 2019 (January 1, 2019): 1–13. http://dx.doi.org/10.1155/2019/1719585.

Full text
Abstract:
Paral and Devadas introduced a simple key generation scheme with a physically unclonable function (PUF) that requires no error correction, e.g., by using a fuzzy extractor. Their scheme, called a pattern matching key generation (PMKG) scheme, is based on pattern matching between auxiliary data, assigned at the enrollment in advance, and a substring of PUF output, to reconstruct a key. The PMKG scheme repeats a round operation, including the pattern matching, to derive a key with high entropy. Later, to enhance the efficiency and security, a circular PMKG (C-PMKG) scheme was proposed. However, multiple round operations in these schemes make them impractical. In this paper, we propose a single-round circular PMKG (SC-PMKG) scheme. Unlike the previous schemes, our scheme invokes the PUF only once. Hence, there is no fear of information leakage by invoking the PUF with the (partially) same input multiple times in different rounds, and, therefore, the security consideration can be simplified. Moreover, we introduce another hash function to generate a check string which ensures the correctness of the key reconstruction. The string enables us not only to defeat manipulation attacks but also to prove the security theoretically. In addition to its simple construction, the SC-PMKG scheme can use a weak PUF like the SRAM-PUF as a building block if our system is properly implemented so that the PUF is directly inaccessible from the outside, and, therefore, it is suitable for tiny devices in the IoT systems. We discuss its security and show its feasibility by simulations and experiments.
APA, Harvard, Vancouver, ISO, and other styles
3

Lee, Sangjae, Mi-Kyung Oh, Yousung Kang, and Dooho Choi. "Design of Resistor-Capacitor Physically Unclonable Function for Resource-Constrained IoT Devices." Sensors 20, no. 2 (January 10, 2020): 404. http://dx.doi.org/10.3390/s20020404.

Full text
Abstract:
Keeping IoT devices secure has been a major challenge recently. One of the possible solutions to secure IoT devices is to use a physically unclonable function (PUF). A PUF is a security primitive that can generate device-specific cryptographic information by extracting the features of hardware uncertainty. Because PUF instances are very difficult to replicate even by the manufacturer, the generated bit sequence can be used as cryptographic keys or as a unique identifier for the device. Regarding the implementation of PUF, the majority of PUFs introduced over the past decade are in the form of active components and have been implemented as separate chips or embedded as a part of a chip, making it difficult to use them in low-cost IoT devices due to cost and design flexibility. One approach to easily adopt PUFs in resource-constrained IoT devices is to use passive components such as resistors and capacitors (RC) that can be configured at low cost. The main feature of this RC-based PUF is that it extracts the small difference caused by charging and discharging of RC circuits and uses it as a response. In this paper, we extend the previous research and show the possibility to secure IoT devices by using the RC-based PUF.
APA, Harvard, Vancouver, ISO, and other styles
4

Lapidas, V., A. Zhizhchenko, E. Pustovalov, D. Storozhenko, and A. Kuchmizhak. "Direct laser printing of high-resolution physically unclonable function anti-counterfeit labels." Applied Physics Letters 120, no. 26 (June 27, 2022): 261104. http://dx.doi.org/10.1063/5.0091213.

Full text
Abstract:
Security labels combining facile structural color readout and physically unclonable one-way function (PUF) approach provide promising strategy for fighting against forgery of marketable products. Here, we justify direct femtosecond-laser printing, a simple and scalable technology, for fabrication of high-resolution (12 500 dots per inch) and durable PUF labels with a substantially large encoding capacity of 10895 and a simple spectroscopy-free optical signal readout. The proposed tags are comprised of laser-printed plasmonic nanostructures exhibiting unique light scattering behavior and unclonable 3D geometry. Uncontrollable stochastic variation of the nanostructure geometry in the process of their spot-by-spot printing results in random and broadband variation of the scattering color of each laser printed “pixel,” making laser-printed patterns unique and suitable for PUF labeling.
APA, Harvard, Vancouver, ISO, and other styles
5

Kuribara, Kazunori, Yuichi Watanabe, Atsushi Takei, Sei Uemura, and Manabu Yoshida. "Robustness of organic physically unclonable function with buskeeper circuit for flexible security devices." Japanese Journal of Applied Physics 61, SE (April 7, 2022): SE1016. http://dx.doi.org/10.35848/1347-4065/ac4c6a.

Full text
Abstract:
Abstract Flexible devices have been studied to realize IoT or novel wearable devices. The data that flexible devices deal with can include personal information when application areas further expand. A security system for flexible devices becomes more important in this case. In this study, we investigate the thermal stability of an organic flexible security system. The security system utilizes fabrication variation of a chip, and it is called a physically unclonable function (PUF). As a result, the bit error rate of the organic PUF is 1.8% and the index of ID uniqueness (i.e., randomness) has an almost theoretical value of 0.48. The generated ID remains even after annealing at 100 °C for 97 h by using CYTOP encapsulation. X-ray diffraction measurement implies that degradation of PUF characteristics partially derives from structure changes of the organic n-type semiconductor thin film after annealing.
APA, Harvard, Vancouver, ISO, and other styles
6

Okura, Shunsuke, Masanori Aoki, Tatsuya Oyama, Masayoshi Shirahata, Takeshi Fujino, Kenichiro Ishikawa, and Isao Takayanagi. "Area-Efficient Post-Processing Circuits for Physically Unclonable Function with 2-Mpixel CMOS Image Sensor." Sensors 21, no. 18 (September 10, 2021): 6079. http://dx.doi.org/10.3390/s21186079.

Full text
Abstract:
In order to realize image information security starting from the data source, challenge–response (CR) device authentication, based on a Physically Unclonable Function (PUF) with a 2 Mpixel CMOS image sensor (CIS), is studied, in which variation of the transistor in the pixel array is utilized. As each CR pair can be used only once to make the CIS PUF resistant to the modeling attack, CR authentication with CIS can be carried out 4050 times, with basic post-processing to generate the PUF ID. If a larger number of authentications is required, advanced post-processing using Lehmer encoding can be utilized to carry out authentication 14,858 times. According to the PUF performance evaluation, the authentication error rate is less than 0.001 ppm. Furthermore, the area overhead of the CIS chip for the basic and advanced post-processing is only 1% and 2%, respectively, based on a Verilog HDL model circuit design.
APA, Harvard, Vancouver, ISO, and other styles
7

Watanabe, Yuichi, Kouji Suemori, Kazunori Kuribara, Nobuko Fukuda, Ken-ichi Nomura, and Sei Uemura. "Development of a simple contact-type printable physically unclonable function device using percolation conduction of rod-like conductive fillers." Japanese Journal of Applied Physics 61, SE (March 24, 2022): SE1005. http://dx.doi.org/10.35848/1347-4065/ac506b.

Full text
Abstract:
Abstract We suggested a printable physically unclonable function (PUF) with a simple circuit structure, to provide a low-cost PUF for improvement in the security level of electronic devices. An element of our contact-type printable PUF was constructed of a conductive filler layer and a pair of electrodes formed by printing. The contact-type printable PUF was based on an open- or short-circuit information of elements induced by a percolation conduction phenomenon of the conductive filler layer. An average conduction probability of the elements could be controlled by adjusting the manufacturing conditions, but an actual appearance pattern of the conduction elements became completely random by the influence of the uncontrollable printing variations. We fabricated a thousand elements for each printing condition to evaluate the PUF performance statistically and obtained a random conduction pattern with a conduction probability of 48.3%. Therefore, our contact-type printable PUF had enough potential to be used as a PUF security system.
APA, Harvard, Vancouver, ISO, and other styles
8

Abdolinezhad, Saeed, Lukas Zimmermann, and Axel Sikora. "A Novel Key Generation Method for Group-Based Physically Unclonable Function Designs." Electronics 10, no. 21 (October 24, 2021): 2597. http://dx.doi.org/10.3390/electronics10212597.

Full text
Abstract:
In recent years, physically unclonable functions (PUFs) have gained significant attraction in IoT security applications, such as cryptographic key generation and entity authentication. PUFs extract the uncontrollable production characteristics of different devices to generate unique fingerprints for security applications. When generating PUF-based secret keys, the reliability and entropy of the keys are vital factors. This study proposes a novel method for generating PUF-based keys from a set of measurements. Firstly, it formulates the group-based key generation problem as an optimization problem and solves it using integer linear programming (ILP), which guarantees finding the optimum solution. Then, a novel scheme for the extraction of keys from groups is proposed, which we call positioning syndrome coding (PSC). The use of ILP as well as the introduction of PSC facilitates the generation of high-entropy keys with low error correction costs. These new methods have been tested by applying them on the output of a capacitor network PUF. The results confirm the application of ILP and PSC in generating high-quality keys.
APA, Harvard, Vancouver, ISO, and other styles
9

Huang, Zhao, Liang Li, Yin Chen, Zeyu Li, Quan Wang, and Xiaohong Jiang. "RPPUF: An Ultra-Lightweight Reconfigurable Pico-Physically Unclonable Function for Resource-Constrained IoT Devices." Electronics 10, no. 23 (December 5, 2021): 3039. http://dx.doi.org/10.3390/electronics10233039.

Full text
Abstract:
With the advancement of the Internet of Things (IoTs) technology, security issues have received an increasing amount of attention. Since IoT devices are typically resource-limited, conventional security solutions, such as classical cryptography, are no longer applicable. A physically unclonable function (PUF) is a hardware-based, low-cost alternative solution to provide security for IoT devices. It utilizes the inherent nature of hardware to generate a random and unpredictable fingerprint to uniquely identify an IoT device. However, despite existing PUFs having exhibited a good performance, they are not suitable for effective application on resource-constrained IoT devices due to the limited number of challenge-response pairs (CRPs) generated per unit area and the large hardware resources overhead. To solve these problems, this article presents an ultra-lightweight reconfigurable PUF solution, which is named RPPUF. Our method is built on pico-PUF (PPUF). By incorporating configurable logics, one single RPPUF can be instantiated into multiple samples through configurable information K. We implement and verify our design on the Xilinx Spartan-6 field programmable gate array (FPGA) microboards. The experimental results demonstrate that, compared to previous work, our method increases the uniqueness, reliability and uniformity by up to 4.13%, 16.98% and 10.5%, respectively, while dramatically reducing the hardware resource overhead by 98.16% when a 128-bit PUF response is generated. Moreover, the bit per cost (BPC) metric of our proposed RPPUF increased by up to 28.5 and 53.37 times than that of PPUF and the improved butterfly PUF, respectively. This confirms that the proposed RPPUF is ultra-lightweight with a good performance, making it more appropriate and efficient to apply in FPGA-based IoT devices with constrained resources.
APA, Harvard, Vancouver, ISO, and other styles
10

Khan, Mohammad Nasim Imtiaz, Chak Yuen Cheng, Sung Hao Lin, Abdullah Ash-Saki, and Swaroop Ghosh. "A Morphable Physically Unclonable Function and True Random Number Generator Using a Commercial Magnetic Memory." Journal of Low Power Electronics and Applications 11, no. 1 (January 14, 2021): 5. http://dx.doi.org/10.3390/jlpea11010005.

Full text
Abstract:
We use commercial magnetic memory to realize morphable security primitives, a Physically Unclonable Function (PUF) and a True Random Number Generator (TRNG). The PUF realized by manipulating the write time and the TRNG is realized by tweaking the number of write pulses. Our analysis indicates that more than 75% bits in the PUF are unusable without any correction due to their inability to exhibit any randomness. We exploit temporal randomness of working columns to fix the unusable columns and write latency to fix the unusable rows during the enrollment. The intra-HD, inter-HD, energy, bandwidth and area of the proposed PUF are found to be 0, 46.25%, 0.14 pJ/bit, 0.34 Gbit/s and 0.385 μm2/bit (including peripherals) respectively. The proposed TRNG provides all possible outcomes with a standard deviation of 0.0062, correlation coefficient of 0.05 and an entropy of 0.95. The energy, bandwidth and area of the proposed TRNG is found to be 0.41 pJ/bit, 0.12 Gbit/s and 0.769 μm2/bit (including peripherals). The performance of the proposed TRNG has also been tested with NIST test suite. The proposed designs are compared with other magnetic PUFs and TRNGs from other literature.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "PUF Physically imcloneable function"

1

Scafuro, Alessandra. "Secure computation under network and physical attacks." Doctoral thesis, Universita degli studi di Salerno, 2013. http://hdl.handle.net/10556/1205.

Full text
Abstract:
2011 - 2012
This thesis proposes several protocols for achieving secure com- putation under concurrent and physical attacks. Secure computation allows many parties to compute a joint function of their inputs, while keeping the privacy of their input preserved. It is required that the pri- vacy one party's input is preserved even if other parties participating in the protocol collude or deviate from the protocol. In this thesis we focus on concurrent and physical attacks, where adversarial parties try to break the privacy of honest parties by ex- ploiting the network connection or physical weaknesses of the honest parties' machine. In the rst part of the thesis we discuss how to construct proto- cols that are Universally Composable (UC for short) based on physical setup assumptions. We explore the use of Physically Uncloneable Func- tions (PUFs) as setup assumption for achieving UC-secure computa- tions. PUF are physical noisy source of randomness. The use of PUFs in the UC-framework has been proposed already in [14]. However, this work assumes that all PUFs in the system are trusted. This means that, each party has to trust the PUFs generated by the other parties. In this thesis we focus on reducing the trust involved in the use of such PUFs and we introduce the Malicious PUFs model in which only PUFs generated by honest parties are assumed to be trusted. Thus the secu- rity of each party relies on its own PUF only and holds regardless of the goodness of the PUFs generated/used by the adversary. We are able to show that, under this more realistic assumption, one can achieve UC- secure computation, under computational assumptions. Moreover, we show how to achieve unconditional UC-secure commitments with (ma- licious) PUFs and with stateless tamper-proof hardware tokens. We discuss our contribution on this matter in Part I. These results are contained in papers [80] and [28]. In the second part of the thesis we focus on the concurrent setting, and we investigate on protocols achieving round optimality and black- box access to a cryptographic primitive. We study two fundamental functionalities: commitment scheme and zero knowledge, and we focus on some of the round-optimal constructions and lower bounds con- cerning both functionalities. We nd that such constructions present subtle issues. Hence, we provide new protocols that actually achieve the security guarantee promised by previous results. Concerning physical attacks, we consider adversaries able to re- set the machine of the honest party. In a reset attack a machine is forced to run a protocol several times using the same randomness. In this thesis we provide the rst construction of a witness indistinguish- able argument system that is simultaneous resettable and argument of knowledge. We discuss about this contribution in Part III, which is the content of the paper. [edited by author]
XI n.s.
APA, Harvard, Vancouver, ISO, and other styles
2

Challa, Rohith Prasad. "SR Flip-Flop Based Physically Unclonable Function (PUF) for Hardware Security." Scholar Commons, 2018. https://scholarcommons.usf.edu/etd/7669.

Full text
Abstract:
Physically Unclonable Functions (PUFs) are now widely being used to uniquely identify Integrated Circuits (ICs). In this work, we propose a novel Set-Reset (SR) Flip-flop based PUF design. For a NAND gate based SR flip-flop, the input condition S (Set) = 1 and R (Reset) = 1 must be avoided as it is an inconsistent condition. When S=R=1 is applied followed by S=R=0, then the outputs Q and Q' undergo race condition and depending on the delays of the NAND gates in the feedback path, the output Q can settle at either 0 or 1. Because of process variations in an IC, the NAND delays are statistical in nature. Thus, for a given SR FF based $n$-bit register implemented in an IC, when we apply S=R=1 to all flip-flops followed by S=R=0, then we obtain an $n$ bit string that can be interpreted as a signature of the chip. Due to process variations, the signature is highly likely to be unique for an IC. We validated the proposed idea by SPICE-level simulations for 90nm, 45nm, and 32nm designs for both intra- and inter-chip variations to establish the robustness of the proposed PUF. Experimental results for 16-, 32-, 64-, and 128-bit registers based on Monte-Carlo simulations demonstrate that the proposed PUF is robust. The main advantage of the proposed PUF is that there is very little area overhead as we can reuse existing registers in the design.
APA, Harvard, Vancouver, ISO, and other styles
3

Hashemian, MaryamSadat. "A Robust Authentication Methodology Using Physically Unclonable Functions in DRAM Arrays." Case Western Reserve University School of Graduate Studies / OhioLINK, 2020. http://rave.ohiolink.edu/etdc/view?acc_num=case1595351647711957.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Schaub, Alexander. "Méthodes formelles pour l'analyse de fuites cache-timing et la génération de clés dans les implémentations cryptographiques." Electronic Thesis or Diss., Institut polytechnique de Paris, 2020. http://www.theses.fr/2020IPPAT044.

Full text
Abstract:
La cryptographie est omniprésente dans notre monde actuel hyperconnecté, protégeant nos communications, sécurisant nos moyens de paiement. Alors que les algorithmes cryptographiques sont en général bien compris, leurs implémentations ont été vérifiées avec moins d'insistance. Cela a mené à des attaques contre les implémentations de la plupart des primitives modernes: AES, RSA, ECDSA... En bref, la sécurité des implémentations pourrait fortement bénéficier de meilleurs garanties théoriques. Dans cette thèse, nous appliquons ce raisonnement à deux sujets différents, l'un portant sur la sécurité logicielle, l’autre sur la sécurité matérielle. La première moitié de la thèse explore les canaux auxiliaires logiciels dits "cache-timing". Ce genre de vulnérabilités apparaît lorsque la durée d'une opération cryptographique, ou l'état du cache après cette opération, dépend d'une information sensible. C'est le cas lorsqu'une opération de branchement dépend d'une information secrète comme une clé privée, ou si la mémoire est accédée à une adresse qui dépend de ce secret. Nous avons développé un outil pour détecter et prévenir ce genre de fuites dans des programmes écrits en C, et l'avons appliqué à la plupart des candidats du processus de standardisation de cryptographie post-quantique initié par le NIST. Ce processus vise à remplacer des primitives cryptographiques traditionnelles comme RSA ou ECDSA, vulnérables aux ordinateurs quantiques, par des alternatives sûres. Ces nouveaux algorithmes étant relativement récents, leurs implémentations ont été moins scrutées. Dans cette thèse, nous appliquons notre outil à la plupart de ces algorithmes pour détecter des fuites d'information potentielles, et expliquons comment les éviter. La deuxième moitié de la thèse est consacrée aux "physically unclonable functions" (PUFs). De ces circuits, on peut extraire des identifiants imprédictibles mais stables, grâce à de petites variations incontrôlables dans les propriétés des semi-conducteurs. Des garanties théoriques pour deux caractéristiques fondamentales de certains PUFs sont présentées dans cette thèse: la stabilité de l'identifiant, perturbée par des bruits de mesure, et l'entropie disponible, dérivée du modèle mathématique du PUF
Cryptography is ubiquitous in today's interconnected world, protecting our communications, securing our payment systems. While the cryptographic algorithms are generally well understood, their implementations have been less subject to formal verification. This has lead to successful breakages of implementions of most modern primitives: AES, RSA, ECDSA... In general, cryptographic implementations would benefit from stronger theoretical guarantees.In this thesis, we apply this line of reasoning to two different topics, one in software security, and the other in hardware security. The first half of this thesis explores cache-timing side channel vulnerabilities that arise when the time taken by a cryptographic operation, or the cache state after this operation, depends on sensitive information. This occurs if any branching operation depends on secret information such as a private key, or if memory is accessed at an address that depends on that secret.We developed a tool to detect and prevent such leaks in programs written in the C programming language. This tool is applied on most candidates of NIST's post-quantum standardization process in order to find cache-timing leakages. This process aims at replacing traditional cryptographic primitives such as RSA or ECDSA, broken by quantum computers, by safer alternatives. The development of such primitives is on the way, but the security of their implementations has received less scrutiny. We show how our tool is able to detect potential cache-timing leaks in a majority of the implementations and what mitigations are possible.The subject of the second half of this thesis are the so-called physically unclonable functions, or PUFs: elementary circuits from which stable but unpredictable identifiers can be extracted. They rely on small, uncontrollable changes in the semiconductor properties to exhibit unpredictable behavior. Theoretical guarantees concerning two fundamental characteristics of PUFs are derived in this thesis, for a large family of PUFs: the stability of the identifier, related to circuit noise, and the exploitable entropy, derived from the mathematical PUF model
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "PUF Physically imcloneable function"

1

Cherif, Zouha, Jean-Luc Danger, Florent Lozac’h, and Philippe Nguyen. "Physically Unclonable Function: Principle, Design and Characterization of the Loop PUF." In Trusted Computing for Embedded Systems, 115–33. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-09420-5_6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Reymond, Guillaume, and Jacques J. A. Fournier. "Physically Unclonable Function: Design of a Silicon Arbiter-PUF on CMOS 65nm." In Trusted Computing for Embedded Systems, 135–42. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-09420-5_7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Srilakshmi, BVDN, Kiran Mannem, K. Jamal, and Manchalla O. V. P. Kumar. "Designing a Strong Physically Unclonable Function Using Low Power LFSR." In Advances in Transdisciplinary Engineering. IOS Press, 2023. http://dx.doi.org/10.3233/atde221237.

Full text
Abstract:
In this new era, security is being the major concern in day to day life. To secure the data many security models are been introduced. Advanced Encryption Systems such as encryption, decryption methods are having a drawback, that it uses a key to store the data in its devices. The key can be easily cloned by any 3rd party person and there is a chance of losing data and the device security. PUF (Physically Unclonable Function) is mainly used for device authentication. It helps to identify the data and its device while performing authentication process. In front-end the PUF is used for device authentication where as in back-end LFSR (Linear-Feedback-Shift-Register) is used to generate random numbers which helps to increase more security. Previously the PUF based LFSR is been implemented and observed the results. In this project the PUF is implemented using LP LFSR (Low power Linear Feedback Shift Register) in order to show more improvement in terms of security by increasing the randomness.
APA, Harvard, Vancouver, ISO, and other styles
4

Laurenţiu Ţiplea, Ferucio, Cristian Andriesei, and Cristian Hristea. "Security and Privacy of PUF-Based RFID Systems." In Cryptography - Recent Advances and Future Developments [Working Title]. IntechOpen, 2020. http://dx.doi.org/10.5772/intechopen.94018.

Full text
Abstract:
The last decade has shown an increasing interest in the use of the physically unclonable function (PUF) technology in the design of radio frequency identification (RFID) systems. PUFs can bring extra security and privacy at the physical level that cannot be obtained by symmetric or asymmetric cryptography at the moment. However, many PUF-based RFID schemes proposed in recent years do not even achieve the lowest privacy level in reputable security and privacy models, such as Vaudenay’s model. In contrast, the lowest privacy in this model can be achieved through standard RFID schemes that use only symmetric cryptography. The purpose of this chapter is to analyze this aspect. Thus, it is emphasized the need to use formal models in the study of the security and privacy of (PUF-based) RFID schemes. We broadly discuss the tag corruption oracle and highlight some aspects that can lead to schemes without security or privacy. We also insist on the need to formally treat the cryptographic properties of PUFs to obtain security and privacy proofs. In the end, we point out a significant benefit of using PUF technology in RFID, namely getting schemes that offer destructive privacy in Vaudenay’s model.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "PUF Physically imcloneable function"

1

Wang, D. Y., Y. C. Hsin, K. Y. Lee, G. L. Chen, S. Y. Yang, H. H. Lee, Y. J. Chang, et al. "Hardware implementation of physically unclonable function (puf) in perpendicular STT MRAM." In 2017 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA). IEEE, 2017. http://dx.doi.org/10.1109/vlsi-tsa.2017.7942497.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Loong, Julius Teo Han, Noor Alia Nor Hashim, Muhammad Saiful Hamid, and Fazrena Azlee Hamid. "Performance analysis of CMOS-memristor hybrid ring oscillator Physically Unclonable Function (RO-PUF)." In 2016 IEEE International Conference on Semiconductor Electronics (ICSE). IEEE, 2016. http://dx.doi.org/10.1109/smelec.2016.7573652.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Niewenhuis, Ben, R. D. Blanton, Mudit Bhargava, and Ken Mai. "SCAN-PUF: A low overhead Physically Unclonable Function from scan chain power-up states." In 2013 IEEE International Test Conference (ITC). IEEE, 2013. http://dx.doi.org/10.1109/test.2013.6651904.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Yang, Kaiyuan, Qing Dong, David Blaauw, and Dennis Sylvester. "8.3 A 553F2 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability." In 2017 IEEE International Solid- State Circuits Conference - (ISSCC). IEEE, 2017. http://dx.doi.org/10.1109/isscc.2017.7870303.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Korenda, Ashwija Reddy, Fatemeh Afghah, Bertrand Cambou, and Christopher Philabaum. "A Proof of Concept SRAM-based Physically Unclonable Function (PUF) Key Generation Mechanism for IoT Devices." In 2019 16th Annual IEEE International Conference on Sensing, Communication, and Networking (SECON). IEEE, 2019. http://dx.doi.org/10.1109/sahcn.2019.8824887.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Tseng, P. H. "Error Free Physically Unclonable Function (PUF) with Programmed ReRAM using Reliable Resistance States by Novel ID-Generation Method." In 2017 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2017. http://dx.doi.org/10.7567/ssdm.2017.a-7-03.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

He, Yan, Dai Li, Zhanghao Yu, and Kaiyuan Yang. "36.5 An Automatic Self-Checking and Healing Physically Unclonable Function (PUF) with <3×10-8 Bit Error Rate." In 2021 IEEE International Solid- State Circuits Conference (ISSCC). IEEE, 2021. http://dx.doi.org/10.1109/isscc42613.2021.9365741.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Iyengar, Anirudh, Nareen Vobilisetti, and Swaroop Ghosh. "Authentication of Printed Circuit Boards." In ISTFA 2016. ASM International, 2016. http://dx.doi.org/10.31399/asm.cp.istfa2016p0605.

Full text
Abstract:
Abstract Printed Circuit Boards (PCBs) are easy target for reverse engineering and counterfeiting attacks due to the distributed supply chain. The integrated circuits (ICs) authentication techniques such as Physically Unclonable Function (PUF) are not easily extendible to PCBs. In this paper, we analyze various sources of variations in PCB and qualitatively study the quality metrics that can be used to quantify the PCB PUFs. We propose several flavors of PCB PUFs by exploiting the manufacture process variations. We also propose a multi-stage arbiter PUF with exponential challenge response pairs. Our preliminary simulations revealed an average 50.4% inter-PCB hamming distance.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography