Dissertations / Theses on the topic 'Plasma etching'

To see the other types of publications on this topic, follow the link: Plasma etching.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Plasma etching.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Chen, Hsin-Yi. "Inductively coupled plasma etching of InP." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2000. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape4/PQDD_0021/MQ54126.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Parks, Joseph Worthy Jr. "Microscopic numerical analysis of semiconductor devices with application to avalnache photodiodes." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/13539.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Baker, Michael Douglas. "In-situ monitoring of reactive ion etching." Diss., Georgia Institute of Technology, 1996. http://hdl.handle.net/1853/15352.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Zhu, Hongbin. "Control of Plasma Etching of Semiconductor Surfaces." Diss., Tucson, Arizona : University of Arizona, 2005. http://etd.library.arizona.edu/etd/GetFileServlet?file=file:///data1/pdf/etd/azu%5Fetd%5F1354%5F1%5Fm.pdf&type=application/pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Jamali, Arash. "Etching of wood by glow-discharge plasma." Thesis, University of British Columbia, 2011. http://hdl.handle.net/2429/39882.

Full text
Abstract:
In this thesis I hypothesize that plasma will etch wood surfaces, produce new cell wall microstructures, and change the surface chemistry of wood because of differential etching of wood’s polymeric constituents. I also examine factors affecting the etching of wood by plasma, and applications of plasma etching for wood processing. Scanning electron and light microscopy and white light confocal profilometry were used to examine etching of wood surfaces. Wet chemical analysis, FTIR and XPS spectroscopy were used to analyze chemical changes at the surface of plasma-treated wood. Experiments were also performed to examine the effect of plasma treatments on the color of blue-stained wood, the morphology of fungal hyphae and the adhesion and performance of coatings on hot-oil modified wood. Exposure of wood to plasma caused etching of wood cell walls and created new surface microstructures. Regions of cell walls that were rich in lignin such as the middle lamella were etched more slowly by plasma. Confocal profilometry of wood exposed to plasma revealed a strong relationship between plasma treatment time and etching of cell walls, and same technique found that lignin pellets were etched more slowly than cellulose pellets. Plasma reduced the levels of carbohydrate at the surface of modified wood, which resulted in a relative increase in lignin content. Plasma treatment improved the effectiveness of hypochlorite bleach at removing blue-stain from wood and it prevented the discoloration of a white acrylic paint on hot-oil modified wood exposed to natural weathering. However, plasma treatment of hot-oil modified wood did not have positive effects on the adhesion and exterior performance of a range of other coatings (mainly semi-transparent stains). I conclude that prolonged exposure to plasma can etch wood cell walls, but cell wall layers that are rich in lignin are degraded more slowly. Plasma etching of wood mainly depends on treatment time and also on the structure and chemical composition of wood. Plasma treatment is an efficient pre-treatment for bleaching of blue-stained wood and reducing the discoloration of white acrylic paint on hot-oil modified wood.
APA, Harvard, Vancouver, ISO, and other styles
6

Goodlin, Brian E. 1974. "Multivariate endpoint detection of plasma etching processes." Thesis, Massachusetts Institute of Technology, 2002. http://hdl.handle.net/1721.1/8498.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 2002.
Includes bibliographical references.
In plasma etching process it is critical to know when the film being etched has cleared to the underlying film, i.e. to detect endpoint, in order to achieve the desired device performance in the resulting integrated circuit. The most highly utilized sensor technology for determining endpoint has historically been optical emission spectroscopy (OES), because it is both non-invasive and highly sensitive to chemical changes in the reactor. Historically, the intensity of one emission peak corresponding to a reactant or product in the etch process was tracked over time, leading to a single-wavelength endpoint trace. At endpoint, the concentrations of reactant and product species undergo a step change that is detectable in the optical emission endpoint trace for many plasma etching processes. Unfortunately, for several critical etching steps (contact and via), the exposed area of the film being etched is very low (<1%, with the rest being masked with photoresist),. and this traditional method of endpoint detection has failed because of the low signal-to-noise ratio at endpoint. Our work has provided a way to improve the endpoint detection sensitivity by a factor of approximately 5-6, so that endpoint can be adequately detected for these low open area etching steps. By utilizing CCD array detection for OES sensors, it is possible to rapidly collect (2-10 Hz) full spectral data (200-900 nm in wavelength), consisting of over 1000 discrete wavelength channels from a plasma etching process. By appropriately utilizing this multi-wavelength data, we have been able to achieve significant improvements in sensitivity. Our work has focused on characterizing, analyzing, and developing new multivariate (multi-wavelength) strategies to optimize the sensitivity of the endpoint detector.
(cont.) This thesis provides a thorough comparison of several different multivariate techniques for improving endpoint detection sensitivity and robustness, both experimentally and theoretically. The techniques compared include: 1) multivariate statistical process control metrics such as Hotelling's T2; 2) chemometrics techniques such as principal component analysis (PCA) and T2 and Q statistics based on PCA, evolving window factor analysis (EWFA); 3) discriminant analysis; and 4) a new methodology called the Multi-wavelength statistic weighted by Signal-to-Noise ratio or MSN Statistic. A quantitative methodology based on signal-to-noise analysis was employed to compare the various techniques. Following this type of analysis, the MSN statistic was developed to theoretically provide the optimal improvement in endpoint detection sensitivity given certain assumptions about the nature of the noise in the data. Applying the MSN statistic to experimentally collected endpoint data confirmed that it did give superior results. By utilizing information about the direction (in the multivariate space) of endpoint from prior runs, the MSN statistic showed significant improvement over the traditional multivariate T2 statistic, that does not use any prior knowledge for detection. Another important aspect of the work was in characterizing the nature of multivariate noise, and understanding how different multivariate algorithms treat the different forms of multivariate noise. In general, we found that multivariate noise could be broadly classified into two components ...
by Brian E. Goodlin.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
7

Fukumoto, Hiroshi. "Model Analysis of Plasma-Surface Interactions during Silicon Oxide Etching in Fluorocarbon Plasmas." 京都大学 (Kyoto University), 2012. http://hdl.handle.net/2433/158076.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Brihoum, Mélissa. "Miniaturisation des grilles de transistors : Etude de l'intérêt des plasmas pulsés." Thesis, Grenoble, 2013. http://www.theses.fr/2013GRENT073.

Full text
Abstract:
L'industrie de la microélectronique s'appuie sur l'évolution constante de la miniaturisation des transistors. D'ici 2016, cette industrie atteindra le nœud technologique 16 nm dans lequel il faudra être capable de graver des structures de dimensions nanométrique ayant de très forts facteurs d'aspect. Cependant, les procédés de gravure actuels montrent de sérieuses limitations en termes de contrôle des profils et des dimensions critiques lorsqu'il faut graver de telles structures. Les problèmes rencontrés sont liés d'une part à des limitations intrinsèques des procédés plasmas et d'autre part à l'apparition de nouveaux phénomènes lorsque la dimension des structures à graver devient nanométrique. Dans le cadre de cette thèse, un nouveau mode de fonctionnement des sources à plasma est étudié pour développer des procédés de gravure adaptés aux prochaines générations de circuits intégrés : les plasmas modulés en impulsions courtes. Les premiers travaux réalisés s'appuient sur de puissantes techniques d'analyses du plasma (spectroscopie d'absorption VUV, sonde de flux ionique, analyseur électrostatique) dans le but de mettre en évidence l'impact des paramètres de la modulation en impulsion du plasma sur ses caractéristiques physicochimiques (flux et énergie des radicaux et des ions). Ces diagnostics ont tout d'abord permis de définir très clairement les conséquences de la modulation en impulsion du plasma sur les flux de radicaux réactifs qui bombardent le substrat : le rapport de cycle est LE paramètre clé pour contrôler la chimie du plasma car il permet de contrôler le taux de fragmentation du gaz par impact électronique. Dans un second temps, nous avons également démontré que dans les plasmas électronégatifs et pour une puissance RF de polarisation donnée, l'énergie des ions augmente lorsque le rapport de cycle diminue. Fort de ces connaissances fondamentales sur les plasmas, des analyses des surfaces (XPS, MEB, Raman…) ont permis de comprendre les mécanismes mis en jeux lors de l'interaction plasma- surface. Ainsi, il a été possible de développer des procédés de gravure pulsés pour plusieurs étapes de la grille de transistor (prétraitement HBr, gravure du Si-ARC, gravure du pSi). Les prétraitements HBr sont incontournables pour réduire la rugosité de bord de ligne de transistor. Lors de cette étape, une couche riche en carbone limite l'effet bénéfique des UV du plasma sur la diminution de la rugosité. Grâce à l'utilisation des plasmas pulsés, l'origine de cette couche a été mise en évidence : elle résulte du dépôt sur les motifs d'espèces carbonées non volatiles issues de la photolyse de la résine qui sont relâchées dans le plasma. Dans ce système bicouche, les contraintes de la couche carbonée dure vont se relaxer dans le volume mou de la résine par phénomène de « buckling » qui se traduit par une hausse de la rugosité de bord de ligne. Nous avons montré que cela peut être évité en minimisant l'épaisseur de cette couche, ce qui peut être obtenu notamment en pulsant le plasma. La gravure de la couche anti-réflective Si-ARC qui sert de masque dur et celle de la grille en poly Silicium reposent sur l'utilisation de plasmas fluorocarbonés. Mais dans ce type de plasma, la production de précurseurs pour la polymérisation est diminuée quand le plasma est pulsé, conduisant à une perte de sélectivité et d'anisotropie. Les plasmas synchronisés pulsés ne sont donc pas de bons candidats pour les étapes de gravure considérées. Pour pallier à ce problème, un autre mode de polarisation a été étudié : les plasmas pour lesquels seule la puissance de polarisation est pulsée. Dans le cas de la gravure du Si-ARC, il est possible d'obtenir des profils très anisotropes avec une sélectivité vis-à-vis de la résine nettement améliorée. Pour la gravure du Silicium, les effets d'ARDE ont pu être diminués tout en améliorant la sélectivité. Ces résultats sont très encourageants
Microelectronics industry is based on the continuous transistor downscaling. By the year 2016, the 16nm technological node would be achieved, so that structures with nanometric dimensions and high aspect ratio would have to be etch. However, traditional etching processes shows major limitations in terms of pattern profiles control and critical dimensions when such structures have to be etch. The encountered problems are related directly to intrinsic limitations of plasmas processes but also to the emergence of new phenomena’s when the dimensions of structures to etch become nanometric. In the framework of this thesis, a new strategy to produce plasma has been evaluated to develop etching plasmas processes adapted to next integration circuit generations: the pulsed plasmas. Over a first phase, the impact of plasma pulsing parameters (frequency and duty cycle) on the plasma physico-chemical characteristics has been highlight. This has been achievable thanks to advanced plasma analyse techniques (VUV broad band absorption spectroscopy, ion flux probe, retarding electrical field analyser…) developed to allow time resolved measurements. For the neutral flux, diagnostics have revealed that duty cycle is THE key control knob to tune the plasma. Indeed, a low duty cycle leads to reduced parent gas fragmentation and thus a reduced chemical reactivity. On the other hand, in electronegative plasmas and for constant RF power, we have demonstrated that ion energy is considerably increased when the ions flux is decreased (i.e. when the duty cycle is decreased). Then, surface analyses (XPS, SEM, Raman spectroscopy…) brought out the mechanisms involved during the plasma-surface interaction. Deeper comprehension of impact of pulsing parameters enables to develop pulsed plasmas processes more easily. These works are focused on the top of the transistor gate and deal with the following steps: HBr cure, Si-ARC etching, poly-silicon etching. HBr cure is an essential pre-treatment of the 193 nm photoresist to decrease the Line Width Roughness (LWR) of transistor gate. During this step, a carbon rich layer is formed on the surface of the resist pattern and degrades the beneficial action of UV plasma light on LWR reduction. Thanks to use of pulsed plasmas, the origin of this carbon rich layer has been highlight: UV induced modifications in polymer bulk lead to outgassing of volatiles carbon-based products in the plasma. These carbon containing moieties are fragmented by electron impact dissociation reaction in the plasma, which create sticking carbon based precursors available for re-deposition on the resist patterns. The impact of this layer on the LWR and resist pattern reflow is studied, and a possible mechanical origin (i.e. buckling instabilities) is highlighted. Finally, we showed that the use of pulsed HBr curing plasma allows to reduce and control the thickness of the graphite-like layer and to obtain LWR reduction that are comparable to VUV treatment only. The Si-ARC layer, used as hard mask, and the poly-silicon gate etching are based on the use of fluorocarbon plasmas. However, in these plasmas, the production of radicals enable for the polymerisation is decreased when the duty cycle is reduced. It leads to loss of both anisotropy and selectivity. Synchronised pulsed plasmas are then not adapted to such etching processes. To overcome this problem, a new way to produce plasma has been studied: the ICP source power is maintained constant and only the bias power is pulsed. Regarding Si-ARC etching, very anisotropic profiles are obtained and the Si-ARC to resist selectivity is enhanced while pulsing the rf bias to the wafer. In the case of poly-silicon etching, the ARDE effects are significantly reduced while the selectivity regarding the oxide is improved. These results are very promising for the development of polymerising plasmas processes
APA, Harvard, Vancouver, ISO, and other styles
9

Astell-Burt, P. J. "Studies on etching and polymer deposition in halocarbon plasmas." Thesis, University of Oxford, 1987. http://ora.ox.ac.uk/objects/uuid:d8fd1069-a66b-4372-8ba0-b9ca5367445c.

Full text
Abstract:
Plasma etching, the selective removal of materials by reaction with chemically active species formed in a glow-discharge, is widely used by the electronics industry because of the advantages over 'wet' processes. The full potential has yet to be realised because chemical processes occuring in the plasma and at the plasma/substrate interface are incompletely understood. In this work attention was focussed on the accumulation of polymers on surfaces during plasma etching in fluorocarbon gases. An apparatus was designed and constructed to explore the conditions which give rise to these deposits by: i) The detection of the excited species such as CF and CF2 (by optical emission spectroscopy); and ii) The rate of accumulation or removal of deposits (by means of a quartz crystal microbalance). The gases CF4, C2F6, C3F8 and CHF3 were used at pressures between 200-600mT, together with mixtures with H2 and a few runs with other gases to vary the partial pressures of etching and polymerizing species. Both substrate effect of, viz silicon and thermally oxidised silicon (SiO2), and electrode materials effects have been examined. Polymer production from C3F8 has been found to be more sensitive to electrode composition than that from CHF3, but the material formed is overall less thermally stable. On the other hand, polymers produced from C3F8 accumulate at similar rates on Si and SiO2, whereas those from CHF3 show a much greater liklihood of building up on Si than SiO2 . XPS and infra-red spectroscopy have been used to demonstrate that polymers arising from these two gases exhibit marked structural differences, which can be minimised by mixing H2 with C3F8. These effects can be correlated with the decomposition products expected in the plasma.
APA, Harvard, Vancouver, ISO, and other styles
10

Toogood, Matthew John. "Studies of the chemistry of plasmas used for semiconductor etching." Thesis, University of Oxford, 1991. http://ora.ox.ac.uk/objects/uuid:e234bbaa-d6e6-4ac8-a3dd-aa9a2c1b1e39.

Full text
Abstract:
Optical diagnostic techniques have been developed and then used to investigate the chemistry of reactive species formed in CF4 / O2 rf parallel plate discharges, similar to those employed in semiconductor material processing. Oxygen atoms were detected by two photon laser induced fluorescence (LIF), and the technique was found to have a number of experimental caveats owing mainly to the high laser intensities required. In particular, amplified spontaneous emission (ASE), was observed from laser excitation of oxygen, and was found to influence the spontaneous fluorescence signal and thus question the use of LIF for ground state concentration measurements in these systems. The spin orbit states of the 3p 3P level were resolved for the first time, both in using high resolution excitation experiments and also as a consequence of detecting ASE. Spin orbit temperatures of less than 50° above ambient were observed. The absolute concentration of oxygen has been found to be 7.4 ± 1.4 x 1013 cm-3 in a 50 mTorr, 100 W, 85% O2 / CF4 plasma. Optical emission was also used to study fluorine atoms and to examine the use of the actinometered emission technique as a measure of ground state concentrations. The latter was investigated directly by comparison with LIF measurements of O and CF2, and in many cases shown to be a poor representation of the ground state concentration. To investigate the chemical and physical processes in the plasma, time resolved methods are required and a new technique, time resolved actinometry, has been developed, tested by comparison with LIF measurements and then used to study the kinetics of fluorine atoms. Results have shown the importance of wall reaction rates on the magnitude of the fluorine atom concentrations, and the sensitivity of these concentrations to the nature of the surface, particularly in the presence of oxygen and silicon. Oxygen has also been shown to be removed predominantly at the surface but the influence of gas phase reactions with CFx radicals is apparent in discharges containing low percentages of O2. Studies on an afterglow type, electron cyclotron resonance reactor have been carried out as a comparison to the parallel plate system, and high excitation and dissociation levels have been observed from differences in the emission intensities and from measured values of the absolute CF and CF2 concentrations. The use of LIF as a diagnostic for CF has been investigated by probing the predissociation of the A2Σ+ state. Emission from the A2Σ+ (v = 2) level has been seen for the first time, and a J independent predissociation mechanism, with a rate of 3 x 109 s-1 has been observed.
APA, Harvard, Vancouver, ISO, and other styles
11

Ohta, Hiroaki. "Molecular dynamics simulation of the plasma-surface interaction during plasma etching processes." Kyoto University, 2004. http://hdl.handle.net/2433/145252.

Full text
Abstract:
Kyoto University (京都大学)
0048
新制・課程博士
博士(エネルギー科学)
甲第11119号
エネ博第97号
新制||エネ||27(附属図書館)
22669
UT51-2004-L916
京都大学大学院エネルギー科学研究科エネルギー基礎科学専攻
(主査)教授 近藤 克己, 教授 佐野 史道, 教授 斧 髙一
学位規則第4条第1項該当
APA, Harvard, Vancouver, ISO, and other styles
12

Steiner, Pinckney Alston IV. "Anisotropic low-energy electron-enhanced etching of semiconductors in DC plasma." Thesis, Georgia Institute of Technology, 1993. http://hdl.handle.net/1853/27060.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Smith, Scott Alan. "INDUCTIVELY COUPLED PLASMA ETCHING OF III-N SEMICONDUCTORS." NCSU, 2002. http://www.lib.ncsu.edu/theses/available/etd-05082002-162142/.

Full text
Abstract:
The principal focus of this research was the employment of an in-house designed and constructed inductively coupled plasma (ICP) system for integrated studies pertaining to the etching rates and etching selectivity among AlN, GaN, and Al(x)Ga(1-x)N. An (ICP) system was chosen because of its high plasma density and low cost relative to other high-density plasma etching systems. The etch rates were studied as a function of ICP power, pressure, DC bias, and gas composition. The use of a mixture of 2 sccm BCl3 and 18 sccm Cl2 resulted in a maximum etch rate of 2.2 microns/min for GaN as well as nearly vertical sidewalls with proper masking. A selectivity value, i.e. the ratio of the etch rates between two materials, as high as 48 was achieved between GaN and AlN with the addition of low concentrations of O2 to a Cl2/Ar chemistry. The use of another selectivity technique, namely, low DC biases resulted in a maximum selectivity of 38. The mechanisms responsible for the GaN etching were determined by monitoring both the ion density with a Langmuir probe and the relative Cl radical density with an optical emission spectrometer. Increasing the ion density resulted in a non-linear increase in the etch rates; increasing the Cl radical density had a minim al affect on etch rate.
APA, Harvard, Vancouver, ISO, and other styles
14

Samara, Vladimir. "Negative ions and neutral beams in plasma etching." Thesis, Open University, 2010. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.534386.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Carter, A. J. "The plasma etching of III-V semiconductor materials." Thesis, Cardiff University, 1989. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.305164.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Rizvi, Syed Shabbar Abbas. "Inductively coupled Ar/Clâ‚‚ plasma etching of GaN." Thesis, University of Ulster, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.288895.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Tao, Benjamin A. (Benjamin Albert). "Non-perfluorocompound chemistries for plasma etching of dielectrics." Thesis, Massachusetts Institute of Technology, 1996. http://hdl.handle.net/1721.1/40603.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Dalton, Timothy Joseph. "Pattern dependencies in the plasma etching of polysilicon." Thesis, Massachusetts Institute of Technology, 1994. http://hdl.handle.net/1721.1/11655.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Krautschik, Christof Gabriel 1957. "Impedance determination of a RF plasma discharge by external measurements." Thesis, The University of Arizona, 1989. http://hdl.handle.net/10150/277141.

Full text
Abstract:
The equivalent impedance of a RF plasma was experimentally determined by monitoring the voltage and current waveform for different input powers in real time. Average ion energies and fluxes were determined by a computer model which takes ion collisions in the sheath regions into account. In addition two models were proposed which explain how RF energy is converted to DC potential energy in the sheath. Etch rates of Si in a CF₄ discharge were also evaluated and related to the measurements.
APA, Harvard, Vancouver, ISO, and other styles
20

Okpalugo, Osmond A. "Characteristics of argon-chlorine inductively coupled plasmas for plasma surface modification and etching." Thesis, University of Ulster, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.399684.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Fagan, James G. "Reactive ion etching of polymide films using a radio frequency discharge /." Online version of thesis, 1987. http://hdl.handle.net/1850/10284.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Nakazaki, Nobuya. "A Study of Plasma-Induced Surface Roughness and Ripple Formation during Silicon Etching in Inductively Coupled Chlorine Plasmas." 京都大学 (Kyoto University), 2016. http://hdl.handle.net/2433/215513.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Perng, John Kangchun. "High Aspect-Ratio Nanoscale Etching in Silicon using Electron Beam Lithography and Deep Reactive Ion Etching (DRIE) Technique." Thesis, Georgia Institute of Technology, 2006. http://hdl.handle.net/1853/11543.

Full text
Abstract:
This thesis reports the characterization and development of nanolithography using Electron Beam Lithography system and nanoscale plasma etching. The standard Bosch process and a modified three-pulse Bosch process were developed in STS ICP and Plasma ICP system separately. The limit of the Bosch process at the nanoscale regime was investigated and documented. Furthermore, the effect of different control parameters on the process were studied and summarized in this report. 28nm-wide trench with aspect-ratio of 25 (smallest trench), and 50nm-wide trench with aspect ratio of 37 (highest aspect-ratio) have been demonstrated using the modified three-pulse process. Capacitive resonators, SiBAR and IBAR devices have been fabricated using the process developed in this work. IBARs (15MHz) with ultra-high Q (210,000) have been reported.
APA, Harvard, Vancouver, ISO, and other styles
24

Booth, J. P. "Laser studies of species involved in plasma etching processes." Thesis, University of Oxford, 1988. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.233432.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Rodgers, Seth Thomas 1970. "Multiscale modeling of chemical vapor deposition and plasma etching." Thesis, Massachusetts Institute of Technology, 2000. http://hdl.handle.net/1721.1/28219.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 2000.
Includes bibliographical references.
In this work, a framework and a set of modeling tools capable of describing systems with key processes occurring on widely separated length and time scales has been developed. The major focus of this work is linking atomistic and continuum descriptions of gas phase transport. This problem is of considerable practical interest, as most etching and CVD processes are run at low pressures ~ 1 torr or less. Under these conditions, the continuum diffusion models used to describe flow and transport in a typical reactor will fail below scales of a few hundred microns, and thus are not useful in describing transport in and around microscale topography. This is a serious limitation, as such topography is present in most microelectronic devices. Two methods for linking discrete particle (or feature scale) and continuum models of precursor transport are presented. The discrete and continuum models are coupled by boundary conditions at their mutual interface (just above any reactive surface with microscale detail) The first approach employs an effective reactivity function e,, which is computed through a hybrid probabilistic-deterministic MC method e. can be interpreted as a representation of the average fate of molecules entering the feature scale domain from the macroscopic model. An example of tungsten CVD over a substrate with surface topography typical of modern microelectronic devices is presented. A second, deterministic technique was also developed as an improvement on the Monte Carlo approach. The deterministic method uses the matrix of transmission probabilities, or shape kernel, to summarize all microscale events in a fashion consistent with a continuum macroscopic model. The deterministic linking algorithm is over 1,000 times faster than the previously presented MC method. The speed advantage enables simulation of detailed chemistry. Plasma etching presents a very similar multiscale problem and a strategy for linked plasma etching simulations is presented. Finally, a study of ionized physical vapor deposition of aluminum is presented as an example of atomistic-continuum linking. Molecular dynamics simulations are used to represent atomistic events. The Molecular Dynamics results are summarized in a manner that allows the combination of atomistic information with a continuum (level -set) model for evolution of the deposited metal film.
by Seth Thomas Rodgers.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
26

Kong, Yung 1967. "Particle contamination in sulfur-hexafluoride/argon plasma etching process." Thesis, The University of Arizona, 1991. http://hdl.handle.net/10150/277919.

Full text
Abstract:
Process generated particle contamination on unpatterned silicon wafers etched in an SF6/argon plasma using a Tegal MCR-1 etcher in the plasma triode-1 mode was characterized using response surface methodology. Particle deposition was observed to be a predictable function of plasma parameter space, which can be determined by relatively few statistically designed experiments. A model of particle deposition as a function of 13.56 MHz chamber electrode rf power, chamber pressure, gas flow rate, etch time and 100 kHz wafer electrode power was constructed. It is found that particle deposition depends linearly on etch time and both 13.56 MHz and 100 kHz power. In addition, particle deposition increased with gas flow rate at low flow rate, reaches a maximum, then decreased as flow rate increased further. Moreover, there was no observable effect on particle deposition due to pressure variation in the pressure range explored. Auger chemical analysis showed that the particles contained elemental sulfur, fluorine, silicon, aluminum, carbon and oxygen. Most particles were typically less than 2 μm in diameter.
APA, Harvard, Vancouver, ISO, and other styles
27

Haque, Yasmeen. "Deposition of plasma polymerized thin films /." Thesis, Connect to this title online; UW restricted, 1985. http://hdl.handle.net/1773/9848.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Vas̆eková, Eva. "Spectroscopic studies of etching gases and microwave diagnostics of plasmas related to the semiconductor industry." n.p, 2006. http://physics.open.ac.uk/~ev295/!MASTER_THESIS.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Sucksmith, John Peter. "Studies of plasmas used for semiconductor etching." Thesis, University of Oxford, 1993. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.335818.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Slapelis, Linda. "Plasma modification of poly(ester sulfonic) acid anionomeric membranes /." Online version of thesis, 1994. http://hdl.handle.net/1850/11445.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Bose, Abhijit Frank. "Diagnostics and control of plasma etching reactors for semiconductor manufacturing /." [S.l.] : [s.n.], 1995. http://e-collection.ethbib.ethz.ch/show?type=diss&nr=11224.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Nishimoto, Keane T. (Keane Takeshi) 1981. "A study of plasma etching for use on active metals." Thesis, Massachusetts Institute of Technology, 2005. http://hdl.handle.net/1721.1/33914.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2005.
Includes bibliographical references (leaves 62-63).
Active metals can be used as a getter pump, removing impurities in ultra-pure high vacuum environments. To relieve the difficulties involved with the transportation, storage and handling of these metals, a process is being developed to create a protective coating by removing the active metal component from the surface of a mixture of the active metal and a stable element via immersion in a wet chemical bath. It is the purpose of this thesis to investigate the utility of a plasma etching process in the removal of the active metal. A decision tree for the selection of a suitable etchant gas was developed and experiments were conducted to validate the process. Magnesium coated glass slides were etched with chlorine, fluorine, and fluorocarbon gasses alone and in the presence of argon to determine which chemistry would etch the fastest. Magnesium was chosen because it was the easiest to handle among the active metals. It was determined that chlorine gas with argon provided the highest etch rate. Next, a set of factorial experiments were conducted to determine the sensitivity of the etch process to changing radio frequency power input, chamber pressure, and the ratio of chlorine to argon.
(cont.) Factor levels were arranged in an orthogonal array and the main effects of each parameter were estimated using analysis of means. It was found that the etch rate increases strongly with increasing power and decreasing amounts of chlorine. Dependence on pressure was less pronounced. These results indicate that the etching mechanism is dependent on physical sputtering. Etch rates of up to 30 nm/min were achieved at 350 W power, 20 mTorr chamber pressure, and a 10%/90% chlorine to argon ratio. In conclusion, although the etch rate is not currently high enough for practical use, the steps in the decision tree were validated by the first set of experiments while the second set was able to characterize the basic mechanism of the etch process.
by Keane T. Nishimoto.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
33

Tsuda, Mutsumi. "A Study of Plasma-Surface Interactions in Plasma Etching with Chlorine-and Bromine-based Chemistries." 京都大学 (Kyoto University), 2004. http://hdl.handle.net/2433/147670.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Haass, Moritz. "Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00820065.

Full text
Abstract:
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique.
APA, Harvard, Vancouver, ISO, and other styles
35

Yeager, John David. "Design and development of metal-polymer film systems for flexible electrodes used in cortical mapping in rats." Pullman, Wash. : Washington State University, 2008. http://www.dissertations.wsu.edu/Thesis/Summer2008/j_yeager_070908.pdf.

Full text
Abstract:
Thesis (M.S. in materials science and engineering)--Washington State University, August 2008.
Title from PDF title page (viewed on Mar. 11, 2009). "School of Mechanical and Materials Engineering." Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
36

Boysen, Christopher J. "An analysis and development of controls for exposures to maintenance personnel working on the plasma metal etchers." Online version, 1998. http://www.uwstout.edu/lib/thesis/1998/1998boysenc.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Xuan, Guangchi. "Plasmaless automated xenon difluoride MEMS etching system development and application." Access to citation, abstract and download form provided by ProQuest Information and Learning Company; downloadable PDF file, 71 p, 2006. http://proquest.umi.com/pqdweb?did=1163250891&sid=1&Fmt=2&clientId=8331&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Shustin, E. G., N. V. Isaev, I. L. Klykov, and V. V. Peskov. "Plasma Processing Reactor on a Base of Beam Plasma Discharge for Producing and Processing Nanomaterials." Thesis, Sumy State University, 2012. http://essuir.sumdu.edu.ua/handle/123456789/35407.

Full text
Abstract:
The paper describes the design, modes and applications of novel kind of low pressure plasma processing reactor based on beam plasma discharge as the plasma source. This reactor ensures flawless treatment of material surface as well as deposition of specific coatings with strictly defined energy of ions acting upon a treated surface. Applications of the reactor are represented such as defect-free etching heterostructures based on GaAs and producing structurally perfect samples of graphene. When you are citing the document, use the following link http://essuir.sumdu.edu.ua/handle/123456789/35407
APA, Harvard, Vancouver, ISO, and other styles
39

Eden, Samuel Peter. "Spectroscopic and electron impact studies of molecules relevant to plasma etching." Thesis, University College London (University of London), 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.407575.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Lane, Jennifer M. (Jennifer Marie) 1977. "A fundamental study of feature evolution during high density plasma etching." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/80245.

Full text
Abstract:
Thesis (M.Eng. and S.B.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1999.
Includes bibliographical references (leaves 91-94).
by Jennifer M. Lane.
M.Eng.and S.B.
APA, Harvard, Vancouver, ISO, and other styles
41

Mahorowala, Arpan P. (Arpan Pravin) 1970. "Feature profile evolution during the high density plasma etching of polysilicon." Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/50514.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 1998.
Includes bibliographical references (p. 185-191).
This thesis work deals with one of the critical steps in the manufacturing of modem integrated circuits - the plasma etching of thin polysilicon films used to form the metaloxide- semiconductor transistor gate. The etching of very small features (-0.25 jim) in the -2500 A thick films, performed at low operating pressures (-10 mTorr), must be accompanied with minimal etching artifacts that can degrade device performance. This thesis aims to understand the causes for the etching artifacts observed during the etching of polysilicon line-and-space features in C12 and HBr plasmas so that better etching recipes can be developed. The second goal of this work was to develop a generalized simulator capable of predicting the feature profile evolution for the above plasma etching system as well as systems involving other materials and chemistries. The 2V2-dimensional simulator developed used Monte Carlo techniques to compute the transport and surface kinetics combined with a cellular representation of the feature. Using the Monte Carlo algorithm permitted the incorporation of all dominant physical and chemical mechanisms of the etching process such as angle-dependent ionenhanced etching, physical sputtering, ion scattering, surface recombination, plasma deposition, and line-of-sight re-deposition without encountering numerical difficulties. The technique allowed the calculation of surface kinetics rates based on the surface composition; simultaneous composition-dependent etching and deposition could be handled easily. A modification of the cellular representation of the feature was developed to determine neutral species interactions with the surface correctly. A surface normal calculation algorithm involving least-squares fitting of the surface was developed to handle specular ion scattering. Designed sets of plasma etching experiments were performed on photoresist masked and silicon oxide-masked polysilicon samples using C12 and HBr chemistries varying the inductive power (controls the ion density, radical concentrations), the rf biasing power (controls the ion energy) and the gas flowrate (controls the reactant and product concentrations). The interesting features exhibited in the experimental profiles included: 1) the increased sidewall deposition associated with photoresist-masking and isolated features, 2) the greater curvature of the sidewalls associated with the combination of photoresist and Cl2 plasmas, 3) the more vertical sidewalls achieved with HBr, 4) the double faceting of the feature sidewalls under etching conditions accompanied by significant deposition, 5) the delay in the onset of microtrenching at the feature bottom while etching photoresist-masked samples with C12, 6) the greater microtrenching exhibited with silicon oxide-masking and C12 plasmas, and 7) the lack of microtrenching for the HBr etching. The experimental results suggested strong dependencies of microtrenching, tapered sidewall profiles and photoresist-mask faceting on the feature aspect ratio, product formation rate and product residence time in the etching chamber. The etching artifacts were explained using the profile evolution simulator. The microtrenching was associated with two mechanisms - ion scattering from tapered sidewalls and the focussing of directional ions by bowed sidewalls onto the feature bottom. The former mechanism led to trenching initially while the latter mechanism gained importance midway during the etching. The absence of tapered sidewalls initially and the relatively straight sidewall profiles developed during the etching explained the non-occurrence of microtrenches when using HBr. Under processing conditions accompanied by significant deposition, facets at two distinct angles were predicted. The top facet depended on the composition of the material on the photoresist-mask line and its etching angular dependence. The lower facet angle and the polysilicon sidewall profile were governed by the feature aspect ratio, the sticking probabilities and fluxes of the depositing material, and the depositing material etching angular dependence. The phenomenon of feature charging was incorporated in the Monte Carlo simulator to understand its role in the profile evolution. Two electrical approximations were made for the feature - the perfectly insulating and a novel resistive approximation. With an insulating feature, the potential profiles were obtained by determining the space charge on the feature surface and solving Poisson's equation over the entire simulation domain. Calculation of the potential profiles with the resistive feature representation involved treating the feature as a large resistive network, determining the steady-state currents to the feature surface and solving the conductivity equation and Laplace's equation in the solid and gas, respectively. The role surface and bulk conductivities played on the potential profiles were studied. The potential profile in a completely etched polysilicon (conducting) feature with a silicon oxide (insulating) feature bottom was generated. Higher ion currents were calculated at the lower part of the polysilicon sidewall. These currents can etch the passivating material deposited at lower portion of the sidewall enabling spontaneous etching of the sidewall, and cause notching of the sidewall.
by Arpan P. Mahorowala.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
42

Lu, Kan P. "Surface modulation of fluoropolymers for the improvement of adhesion : O₂-CF₄-Ar radio frequency plasma modification of poly (tetrafluoroethylene) /." Online version of thesis, 1994. http://hdl.handle.net/1850/11696.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Blanc, Romuald. "Développement et caractérisation de procédés de gravure des espaceurs Si3N4 pour les technologies FDSOI." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT036/document.

Full text
Abstract:
Dans les technologies CMOS sur substrat FDSOI, la consommation de silicium dans les zones sources/drains des transistors par les étapes successives de gravure est un paramètre critique. La gravure plasma des espaceurs de Si3N4, qui a lieu après la gravure de la grille, doit permettre la fabrication d'espaceurs au profil droit déterminant la longueur effective du canal sous la grille tout en minimisant la consommation de la couche mince de silicium sous-jacente. De plus, l'état de surface du silicium généré par la gravure des espaceurs ne doit pas entraver la croissance de silicium par épitaxie nécessaire à la fabrication des zones sources/drains surélevées.L'étude des procédés actuels de gravure des espaceurs basés sur des chimies CHxFy/O2 nous apprend que le silicium est consommé par oxydation lors de l'atterrissage du plasma sur le silicium. De plus, l'analyse XPS montre que du carbone est implanté par les ions du plasma dans le substrat de silicium, et celui-ci empêche la recroissance de silicium par épitaxie. Nous sommes en mesure de réduire cette concentration de carbone sans pour autant augmenter la consommation de silicium par l'utilisation de post-traitements plasmas non-oxydants à base d'hydrogène.Fort de cette analyse mettant en avant les limitations des procédés actuels, nous avons développé et caractérisé des procédés de gravure des espaceurs de Si3N4 utilisant des plasmas CH3F/O2/He pulsés synchronisés. La modulation en impulsions courtes avec de faibles rapports cycliques diminue la dose d'ions énergétique reçue par le substrat, ce qui permet de réduire l'épaisseur de silicium oxydé ainsi que la concentration de carbone implanté. L'ajout dans le plasma d'un gaz contenant du silicium, le SiCl4 ou le SiF4, entraine également une réduction de la consommation de silicium grâce au dépôt d'une couche SiOxFy par les radicaux de la phase gazeuse. Le meilleur résultat est obtenu avec un plasma CH3F/O2/He pulsé à 1kHz et 10% de rapport cyclique auquel sont ajoutés 5 ou 10 sccm de SiF4 : la consommation de silicium est alors quasi nulle.Une méthode de gravure alternative basée sur l'implantation d'ions He+ et H+ suivie d'une gravure humide dans une solution HF a également été développée et évaluée pour la gravure des espaceurs de Si3N4. Ce procédé de gravure novateur ne génère aucune consommation de silicium et présente des résultats très prometteurs
In CMOS technologies on FDSOI substrate, the silicon recess in transistor's source/drain regions caused by multiple etch steps is a critical parameter. The plasma etching of Si3N4 spacers, which occurs after the gate etch step, must allow the fabrication of straight spacer profiles which will define the effective channel length under the gate, while minimizing the consumption of the underlying silicon thin film. Moreover, the silicon surface state generated by the spacers etching must not prevent the epitaxial silicon growth used for the realization of raised source/drain regions.The study of current spacers etch processes based on CHxFy/O2 chemistries shows that silicon is consummated by oxidation when the plasma lands on the silicon surface. Furthermore, the XPS analysis shows that carbon is implanted in the silicon substrate by plasma ions, and that it inhibits the silicon epitaxial regrowth. We are able to reduce the implanted carbon concentration without any additional silicon recess by using non-oxidizing plasma post-treatments based on hydrogen.After identifying the limitations of current etch processes, we developed and characterized Si3N4 spacers etch processes using synchronously pulsed CH3F/O2/He plasmas. The modulation in short pulses with low duty cycles decreases the dose of high energy ions bombarding the substrate, which allows to reduce the oxidized silicon thickness as well as the concentration of implanted carbon. The addition in the plasma of a Si-containing gas, SiCl4 or SiF4, also leads to a reduction of the silicon consumption thanks to the deposition of a SiOxFy layer by radicals from the gas phase. The best result is obtained with a CH3F/O2/He plasmas pulsed at 1 kHz and 10% duty cycle with the addition of 5 or 10 sccm of SiF4 : the silicon recess is then almost zero.We also developed and evaluated an alternative etching technique, based on the implantation of He+ and H+ ions followed by a HF wet etch, for the etching of Si3N4 spacers. This innovative etch process does not generate any silicon recess and shows some promising results
APA, Harvard, Vancouver, ISO, and other styles
44

Choi, Tae-Seop. "Copper, silver, and gold etching with H₂ and CH₄ based plasmas." Diss., Georgia Institute of Technology, 2014. http://hdl.handle.net/1853/53043.

Full text
Abstract:
This thesis describes investigations on Cu, Ag, and Au subtractive etching by H₂ and CH₄ plasmas below room temperature. Both blanket film of Cu, Ag, and Au etching and patterning studies were performed for the applications of these metals as interconnects in electronic devices and photonic devices to replace current Damascene process. The nm scale Cu patterning in H₂ plasma was demonstrated by etching Ta/Cu/Ta stacks. Also, Ag and Au etching was feasible in H₂ plasma unlike other transition metals such as Ti, Ta, Ni, Cr, Al, and Pt indicating the etching chemistry based on the similar electronic structures of group 11 metals plays important role. In addition, employing CH₄ plasma allowed the use of photoresist mask and patterned Cu, Ag, and Au with high selectivity. The overall etch mechanism is the combination of chemical reactions and physical (photon and ion) bombardment in H₂ and CH₄ plasma. However, the Cu etching mechanism is more dependent on the chemistry while Ag and Au patterning were dominated by physical bombardment. Finally, the direction for future work including further mechanistic study and process optimization is suggested.
APA, Harvard, Vancouver, ISO, and other styles
45

Steel, William H. "On the properties of plasma crystals." Thesis, University of Oxford, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.326021.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Jin, Weidong 1975. "Study of plasma-surface kinetics and feature profile simulation of poly-silicon etching in Cl²/HBr plasma." Thesis, Massachusetts Institute of Technology, 2003. http://hdl.handle.net/1721.1/28357.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, February 2004.
Includes bibliographical references.
This work characterized the Cl2/HBr ion enhanced plasma-surface interactions with poly-silicon as a function of the gas composition, ion energy, ion incident angle and other important process parameters. A realistic inductively coupled plasma beam apparatus capable of generating ions and neutrals representative of real commercial etcher was constructed and utilized to simulate accurately a high density plasma environment. Etching rate of poly- silicon, the oxygen effect and loading effect are quantified to better describe the etching of patterned poly-silicon in fabricating the gate electrode of a transistor in VLSI manufacturing process. The kinetics model derived from these measurements are incorporated into a Monte Carlo based feature profile simulator, and profile evolution has been simulated under various processing conditions. The realistic plasma beam was used to measure the etching yields of poly-silicon with Cl2/HBr chemistry at different ion energies. The etching yields were found to scale linearly with ... where the threshold energies, Eth are 10 eV for both Cl2 and HBr. The etching yields at different neutral-to-ion flux ratio were measured and the sticking coefficients are derived for reactive neutrals for Cl2 and HBr. The sticking coefficient for HBr system is lower probably due to the relatively larger size of bromine atom compared with chlorine and its relatively lower chemical reactivity. The etching yields for mixed Cl2+HBr plasma at different compositions were also measured.
(cont.) The etching yield by the HBr plasma beam is similar to the C12 plasma beam, although the etching rate by the HBr plasma is about 40% lower due to the lower ion flux. The angular dependence of etching yield by both Cl2 and HBr strongly suggests the mechanism of ion enhanced chemical etching. With Cl2 plasma beam, the etching yield almost keeps constant until the off-normal ion incident angle increased to 45⁰, while with the HBr plasma beam, the etching yield starts dropping even with small off-normal angle. The etching yield by Cl2+HBr plasma at different composition exhibits a similar trend as pure HBr. The difference of angular dependent etching yield between Cl2 and HBr might contribute to the difference of feature profile evolution in these two chemistries, i.e., more anisotropic etching in HBr plasma, as suggested by Monte Carlo feature profile evolution simulation. The XPS peak for Cl(2p), Br(3d), and Si(2p) are integrated to quantify the relative concentration of different species on the sample surface after exposure to the plasma beam. The Cl coverage after etching with pure Cl2 plasma beam is about 1.4 times higher than the Br coverage after etching with pure HBr plasma beam. The lower Br coverage is likely due to site blocking by coadsorbed H and adjacent adsorption site blocking by the larger Br atom. The Si(2p) was deconvoluted to differentiate Si(bulk), SiCl, SiCl2 and SiCl3. About 70% of the chlorine adsorbed onto the surface is in the form of di- and tri-chloride. Similar results are observed for bromine. Inversion method was used to extract the depth profile from the angular resolved XPS measurement. The halogenation layer is about 15 - 25 [Angstroms] thick, and the concentration of halogen decays exponentially as depth increases ...
by Weidong Jin.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
47

Laudrel, Edouard. "Gravure de titane pour applications biomédicales." Thesis, Orléans, 2017. http://www.theses.fr/2017ORLE2035.

Full text
Abstract:
Des efforts de miniaturisation sont nécessaires dans le domaine des dispositifs actifs implantables afin de limiter l’invasivité et de réduire les risques de complications suite aux opérations chirurgicales. Les marges de progression pour la réduction des dimensions tendent à se réduire pour les systèmes actuels tels que les stimulateurs cardiaques, les neurostimulateurs ou les capteurs autonomes in vivo. Une rupture technologique est nécessaire pour permettre de repousser les limites des systèmes actuels. Le titane est un matériau possédant des propriétés de biocompatibilité. Il est stable et inerte en contact avec les tissus humains. De plus, ses caractéristiques mécaniques en font un matériau prometteur pour le développement de microsystèmes implantables. Dans le cadre du projet R&D MISTIC (Micro-Structuration du Titane pour Innovations Cardiologiques), l’objectif principal de cette thèse est de développer une brique technologique sur la gravure profonde du titane pour l’intégration de microsystèmes dans des dispositifs actifs implantables. Des études concernant la gravure profonde du titane en plasma de Cl₂ ont été menées afin de déterminer les mécanismes mis en jeu. L’ajout d’espèces fluorées permet, par la création d’un nouveau chemin réactionnel, d’accroître la vitesse de gravure du titane et d’augmenter la sélectivité par rapport au masque de Nickel. Un procédé de gravure du titane sur une profondeur de 300 μm a été mis au point sur des plaquettes de 100 mm de diamètre. L’application des résultats de ces études et le transfert du procédé vers la gravure pleine plaque ont permis de réaliser des démonstrateurs de traversées électriques en titane
Miniaturization efforts are required in the field of implantable active devices in order to limit invasiveness and reduce the risks of complications following surgical operations. Progression margins for the reduction of dimensions tend to be reduced for current systems such as cardiac pacemakers, neurostimulators or in vivo autonomous sensors. A technological break is needed to push the limits of current systems. Titanium is a material with biocompatibility properties. It is stable and inert in contact with the human tissues.Moreover, its mechanical characteristics make it a promising material for the development of implantable microsystems. As a part of the MISTIC R&D project (Micro-Structuring of Titanium for Innovations in Cardiology), the main objective of this PhD thesis is to develop a technological brick on the deep etching of titanium for the integration of microsystems in active implantable devices. Studies on the deep etching of titanium into Cl₂ plasma have been carried out in order to determine the mechanisms involved. Further, by adding fluorinated species in the process through the creation of a new reaction pathway, an increase in the titanium etch rate and an improvement of the selectivity with the nickel hard mask were achieved. A process for titanium etching over a depth of 300 μm has been developed on 100 mm diameter wafers. The application of the results of these studies and the transfer of the process to the full wafer etching made it possible to produce the demonstrators with titanium-based electrical feedthrough
APA, Harvard, Vancouver, ISO, and other styles
48

Morris, Bryan George Oneal. "In situ monitoring of reactive ion etching." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2009. http://hdl.handle.net/1853/31688.

Full text
Abstract:
Thesis (Ph.D)--Electrical and Computer Engineering, Georgia Institute of Technology, 2010.
Committee Chair: May, Gary; Committee Member: Brand,Oliver; Committee Member: Hasler,Paul; Committee Member: Kohl,Paul; Committee Member: Shamma,Jeff. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
49

Little, Thomas William. "Surface science studies on the interaction of nitrogen trifluoride ion beams and plasmas with silicon /." Thesis, Connect to this title online; UW restricted, 1999. http://hdl.handle.net/1773/10613.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Wohlfart, Ellen [Verfasser]. "Nanopatterning of poly(ethylene terephthalate) by plasma etching / vorgelegt von Ellen Wohlfart." Stuttgart : Max-Planck-Inst. für Metallforschung, 2010. http://d-nb.info/1012342662/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography