Academic literature on the topic 'Plasma etching'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Plasma etching.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Plasma etching"

1

Mayer, Thomas M. "Plasma etching." Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 44, no. 4 (February 1990): 484–85. http://dx.doi.org/10.1016/0168-583x(90)90013-k.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Гармаш, В. И., В. Е. Земляков, В. И. Егоркин, А. В. Ковальчук, and С. Ю. Шаповал. "Исследование влияния атомарного состава на скорость плазмохимического травления нитрида кремния в силовых транзисторах на основе AlGaN/GaN-гетероперехода." Физика и техника полупроводников 54, no. 8 (2020): 748. http://dx.doi.org/10.21883/ftp.2020.08.49646.9398.

Full text
Abstract:
The effect of atomic composition on the rate of plasma chemical etching of silicon nitride in power transistors based on an AlGaN / GaN heterojunction is studied. It is shown how the subsequent process of its plasma-chemical etching depends on the configuration of the incorporation of hydrogen impurity atoms into the molecular structure of the silicon nitride deposited in the plasma. The dependence of the etching rate on the parameters of the process (the working pressure in the chamber, the power of the plasma generator, the flow of working gases, the deposition temperature) is investigated. It was shown that the etching rate of the HxSirNzHy film does not depend directly on the hydrogen content, but significantly depends on the ratio of [Si-H] / [N-H] bonds. The etching rate of HxSirNzHy in a high-density plasma at low powers is much less dependent on the configuration of hydrogen bonds than the etching rate of this dielectric in a buffer etchant.
APA, Harvard, Vancouver, ISO, and other styles
3

Cheng, Kenneth J., Weicong Ma, and Philip D. Evans. "Differential Etching of Rays at Wood Surfaces Exposed to an Oxygen Glow Discharge Plasma." Materials 17, no. 2 (January 22, 2024): 521. http://dx.doi.org/10.3390/ma17020521.

Full text
Abstract:
Basswood samples were exposed to oxygen glow-discharge plasmas for 30 min, and etching of radial and tangential longitudinal surfaces was measured. It was hypothesized that there would be a positive correlation between etching and plasma energy, and differential etching of wood surfaces because of variation in the microstructure and chemical composition of different woody tissues. Etching at the surface of basswood samples was examined using profilometry. Light and scanning electron microscopy were used to examine the microstructure of samples exposed to plasma. There was a large effect of plasma energy on etching of basswood surfaces, and radial surfaces were etched to a greater extent than tangential surfaces. However, rays at radial surfaces were more resistant to etching than fibers, resulting in greater variation in the etching of radial versus tangential surfaces. The same phenomenon occurred at radial surfaces of balsa wood, jelutong and New Zealand white pine subjected to plasma etching. The possible reasons for the greater resistance of rays to plasma etching are explored, and it is suggested that such differential etching of wood surfaces may impose a limitation on the use of plasma to precisely etch functional patterns at wood surfaces (raised pillars, grooves), as has been done with other materials.
APA, Harvard, Vancouver, ISO, and other styles
4

Park, Jin-Seong, In-Sung Park, Seon Yong Kim, Taehoon Lee, Jinho Ahn, Tae-Hun Shim, and Jea-Gun Park. "Plasma Etching of SiO2 with CF3I Gas in Plasma-Enhanced Chemical Vapor Deposition Chamber for In-Situ Cleaning." Science of Advanced Materials 11, no. 12 (December 1, 2019): 1667–72. http://dx.doi.org/10.1166/sam.2019.3634.

Full text
Abstract:
Non-global-warming CF3I gas has been investigated as a removal etchant for SiO2 film. Thermally fabricated SiO2 films were etched by the plasma generated with a gas mixture of CF3I and O2 (CF3I/O2) in the plasma-enhanced chemical vapor deposition chamber. The etch rate of SiO2 films was studied along with the process parameters of plasma etching such as chamber pressure, etching gas flow ratio of CF3I to CF3I/O2, plasma power, and chamber temperature. Increasing the chamber pressure from 400 to 1,000 mTorr decreased the etch rate of SiO2 film. The etch rate of this film showed a minimum value at a gas flow ratio of 0.71 in CF3I to CF3I/O2 and then increased at a higher CF3I gas flow ratio. In addition, the elevated plasma power increased the etch rate. However, the chamber temperature has little effect on the etch rate of SiO2 films. When only CF3I gas without O2 was supplied for etching, polymerized fluorocarbon was formed on the surface, indicating the role of oxygen in ashing the polymerized fluorocarbon during the etching process.
APA, Harvard, Vancouver, ISO, and other styles
5

Lee, Youngseok, Heejung Yeom, Daehan Choi, Sijun Kim, Jangjae Lee, Junghyung Kim, Hyochang Lee, and ShinJae You. "Database Development of SiO2 Etching with Fluorocarbon Plasmas Diluted with Various Noble Gases of Ar, Kr, and Xe." Nanomaterials 12, no. 21 (October 29, 2022): 3828. http://dx.doi.org/10.3390/nano12213828.

Full text
Abstract:
In the semiconductor industry, fluorocarbon (FC) plasma is widely used in SiO2 etching, with Ar typically employed in the dilution of the FC plasma due to its cost effectiveness and accessibility. While it has been reported that plasmas with other noble gases, namely Kr and Xe, have distinct physical properties such as electron density and temperature, their implementation into plasma etching has not been sufficiently studied. In this work, we conducted SiO2 etching with FC plasmas diluted with different noble gases, i.e., FC precursors of C4F8 and CH2F2 with Ar, Kr, or Xe, under various gas flow rates of each as well as plasma diagnostics for the process interpretation. We show that Ar, Kr, and Xe gas mixtures depend on the FC precursor flow rate and the pattern width in a significantly different manner and we elucidate these findings based on plasma diagnostic results. The results of this work are expected to offer a practical etching database for diverse applications including plasma process engineering and the development of plasma simulation in the semiconductor industry.
APA, Harvard, Vancouver, ISO, and other styles
6

Hershkowitz, Noah, and Robert A. Breun. "Diagnostics for plasma processing (etching plasmas) (invited)." Review of Scientific Instruments 68, no. 1 (January 1997): 880–85. http://dx.doi.org/10.1063/1.1147752.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Hao, Yuhua, and Xia Wang. "Effects of the Photoelectrochemical Etching in Hydrogen Fluride (HF) on the Optoelectrical Properties of Ga2O3." Journal of Physics: Conference Series 2112, no. 1 (November 1, 2021): 012006. http://dx.doi.org/10.1088/1742-6596/2112/1/012006.

Full text
Abstract:
Abstract Photoelectrochemical (PEC) etching is preferred to produce micro-and nano-structures for constructing Ga2O3-based electronics and optoelectronics, owing to its numerous controllable parameters. During the devices fabrications, beyond the wet chemical and dry (plasma) etching produces, PEC etching also leads to device degradations inordinately. In this work, the Ga2O3 thin film was PEC etched by hydrogen fluride (HF) etchant, and its opto-electric deep-ultraviolet sensing performances, including photo-to-dark current ratio, responsivity, and response speed, before and after PEC etching were analyzed and discussed.
APA, Harvard, Vancouver, ISO, and other styles
8

Lee, Ji Yeon, Dae Whan Kim, Hong Seong Gil, Doo San Kim, Yun Jong Jang, Dong Woo Kim, and Jiyeon Lee. "Selective Isotropic Dry Etching of SiO2 Using F/H-Based Pulsed Remote Plasma and a Vapor Phase Solvent." ECS Meeting Abstracts MA2024-01, no. 30 (August 9, 2024): 1516. http://dx.doi.org/10.1149/ma2024-01301516mtgabs.

Full text
Abstract:
Isotropic etching generally employs liquid-based wet etching techniques. However, due to the high integration of devices, conformal etching is challenging in patterns with a high aspect ratio because liquid chemicals struggle to penetrate inside the pattern. Additionally, during the drying process after chemical treatment, pattern collapse is observed due to surface tension. Therefore, there is a need for dry isotropic etching techniques to replace wet etching techniques in next-generation device manufacturing processes. Typically, when high selectivity SiO2 isotropic dry etching is required, F-base/H-based gas mixtures are utilized to form HF, which serves as an etchant for SiO2. SiO2 can be dry etched through two different mechanisms. First, HF reacts directly with H2O or alcohol, etching SiO2. The other way is to produce (NH4)2SiF6 salt from the reaction of NH3, which can be formed in a plasma containing NH3 and NF3. This (NH4)2SiF6 salt is sublimated and removed by a following heating process at a temperature over 100ºC. The process above has some disadvantages, such as lowering the etch selectivity of SiO2 over Si and Si3N4 because Si and Si3N4 can be etched by F radicals remaining in the plasma. This study aimed to overcome these disadvantages by controlling the F radical through pulsing the remote plasma during the discharging of F-based/H-based gas mixtures for the formation of HF. By pulsing the remote plasma, SiO2 could be selectively etched at a higher etch rate relative to those of Si and Si3N4. Additionally, various types of H/F-based gas mixtures that do not contain nitrogen while producing HF were investigated to prevent the formation of ammonium powders, which can be a source of contamination in the chamber. Futhermore, the etching mechanisms were identified through gas phase anlysis and surface analysis. Also, any possible surface damage during the etching process was investigated.
APA, Harvard, Vancouver, ISO, and other styles
9

Rahim, Rosminazuin A., Badariah Bais, and Majlis Burhanuddin Yeop. "Double-Step Plasma Etching for SiO2 Microcantilever Release." Advanced Materials Research 254 (May 2011): 140–43. http://dx.doi.org/10.4028/www.scientific.net/amr.254.140.

Full text
Abstract:
In this paper, an isotropic dry plasma etching was used to release the suspended SiO2 microcantilever from the substrate of SOI wafer. Employing the plasma dry etching technique, the frontside etching for the SiO2 microcantilever release is done using the Oxford Plasmalab System 100. To obtain the optimum condition for the microcantilever release using the plasma etcher, the etching parameters involved are 100 sccm of SF6 flow, 2000 W of capacitively coupled plasma (CCP) power, 3 W of inductively coupled plasma (ICP) power, 20°C of etching temperature and 30 mTorr chamber pressure. The optimum parameters yield lateral etch rate of about 5 μm/min and vertical etch rate of about 8 μm/min. Two etching methods have been considered in this study. The first method employs only the isotropic etching to realize the microcantilever release while the second method utilizes both the anisotropic etching and the isotropic etching. For the second method, the process starts with the anisotropic etching from the deep reactive ion etching (DRIE) system which is then followed by the isotropic etching to complete the microcantilever releasing process. The purpose of the anisotropic etching is to create an etching window for the subsequent isotropic etching process. By using double-step etching method which combines both isotropic and anisotropic plasma etching for the microcantilever release process, the releasing process of suspended microcantilever is significantly improved.
APA, Harvard, Vancouver, ISO, and other styles
10

VOSHCHENKOV, ALEXANDER M. "FUNDAMENTALS OF PLASMA ETCHING FOR SILICON TECHNOLOGY (PART 1)." International Journal of High Speed Electronics and Systems 01, no. 03n04 (September 1990): 303–45. http://dx.doi.org/10.1142/s0129156490000149.

Full text
Abstract:
Over the past decade, as the rapid evolution of semiconductor technology has progressed towards submicron design rules, plasma (dry) etching has supplanted simple wet etching processes for the transfer of patterns. To understand the underlying need for development of plasma etching, a brief background of integrated semiconductor technology is presented. Along with a historical perspective of the evolution of plasma etching, the relationship of plasma etching to lithography needs, its basic characteristics and advantages over wet chemical processing are discussed. Following this, relevant concepts of plasma physics and chemistry, based on experience with plasma etching applications for silicon technology, which can be used as building blocks for technology development are described.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Plasma etching"

1

Chen, Hsin-Yi. "Inductively coupled plasma etching of InP." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2000. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape4/PQDD_0021/MQ54126.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Parks, Joseph Worthy Jr. "Microscopic numerical analysis of semiconductor devices with application to avalnache photodiodes." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/13539.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Baker, Michael Douglas. "In-situ monitoring of reactive ion etching." Diss., Georgia Institute of Technology, 1996. http://hdl.handle.net/1853/15352.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Zhu, Hongbin. "Control of Plasma Etching of Semiconductor Surfaces." Diss., Tucson, Arizona : University of Arizona, 2005. http://etd.library.arizona.edu/etd/GetFileServlet?file=file:///data1/pdf/etd/azu%5Fetd%5F1354%5F1%5Fm.pdf&type=application/pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Jamali, Arash. "Etching of wood by glow-discharge plasma." Thesis, University of British Columbia, 2011. http://hdl.handle.net/2429/39882.

Full text
Abstract:
In this thesis I hypothesize that plasma will etch wood surfaces, produce new cell wall microstructures, and change the surface chemistry of wood because of differential etching of wood’s polymeric constituents. I also examine factors affecting the etching of wood by plasma, and applications of plasma etching for wood processing. Scanning electron and light microscopy and white light confocal profilometry were used to examine etching of wood surfaces. Wet chemical analysis, FTIR and XPS spectroscopy were used to analyze chemical changes at the surface of plasma-treated wood. Experiments were also performed to examine the effect of plasma treatments on the color of blue-stained wood, the morphology of fungal hyphae and the adhesion and performance of coatings on hot-oil modified wood. Exposure of wood to plasma caused etching of wood cell walls and created new surface microstructures. Regions of cell walls that were rich in lignin such as the middle lamella were etched more slowly by plasma. Confocal profilometry of wood exposed to plasma revealed a strong relationship between plasma treatment time and etching of cell walls, and same technique found that lignin pellets were etched more slowly than cellulose pellets. Plasma reduced the levels of carbohydrate at the surface of modified wood, which resulted in a relative increase in lignin content. Plasma treatment improved the effectiveness of hypochlorite bleach at removing blue-stain from wood and it prevented the discoloration of a white acrylic paint on hot-oil modified wood exposed to natural weathering. However, plasma treatment of hot-oil modified wood did not have positive effects on the adhesion and exterior performance of a range of other coatings (mainly semi-transparent stains). I conclude that prolonged exposure to plasma can etch wood cell walls, but cell wall layers that are rich in lignin are degraded more slowly. Plasma etching of wood mainly depends on treatment time and also on the structure and chemical composition of wood. Plasma treatment is an efficient pre-treatment for bleaching of blue-stained wood and reducing the discoloration of white acrylic paint on hot-oil modified wood.
APA, Harvard, Vancouver, ISO, and other styles
6

Goodlin, Brian E. 1974. "Multivariate endpoint detection of plasma etching processes." Thesis, Massachusetts Institute of Technology, 2002. http://hdl.handle.net/1721.1/8498.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 2002.
Includes bibliographical references.
In plasma etching process it is critical to know when the film being etched has cleared to the underlying film, i.e. to detect endpoint, in order to achieve the desired device performance in the resulting integrated circuit. The most highly utilized sensor technology for determining endpoint has historically been optical emission spectroscopy (OES), because it is both non-invasive and highly sensitive to chemical changes in the reactor. Historically, the intensity of one emission peak corresponding to a reactant or product in the etch process was tracked over time, leading to a single-wavelength endpoint trace. At endpoint, the concentrations of reactant and product species undergo a step change that is detectable in the optical emission endpoint trace for many plasma etching processes. Unfortunately, for several critical etching steps (contact and via), the exposed area of the film being etched is very low (<1%, with the rest being masked with photoresist),. and this traditional method of endpoint detection has failed because of the low signal-to-noise ratio at endpoint. Our work has provided a way to improve the endpoint detection sensitivity by a factor of approximately 5-6, so that endpoint can be adequately detected for these low open area etching steps. By utilizing CCD array detection for OES sensors, it is possible to rapidly collect (2-10 Hz) full spectral data (200-900 nm in wavelength), consisting of over 1000 discrete wavelength channels from a plasma etching process. By appropriately utilizing this multi-wavelength data, we have been able to achieve significant improvements in sensitivity. Our work has focused on characterizing, analyzing, and developing new multivariate (multi-wavelength) strategies to optimize the sensitivity of the endpoint detector.
(cont.) This thesis provides a thorough comparison of several different multivariate techniques for improving endpoint detection sensitivity and robustness, both experimentally and theoretically. The techniques compared include: 1) multivariate statistical process control metrics such as Hotelling's T2; 2) chemometrics techniques such as principal component analysis (PCA) and T2 and Q statistics based on PCA, evolving window factor analysis (EWFA); 3) discriminant analysis; and 4) a new methodology called the Multi-wavelength statistic weighted by Signal-to-Noise ratio or MSN Statistic. A quantitative methodology based on signal-to-noise analysis was employed to compare the various techniques. Following this type of analysis, the MSN statistic was developed to theoretically provide the optimal improvement in endpoint detection sensitivity given certain assumptions about the nature of the noise in the data. Applying the MSN statistic to experimentally collected endpoint data confirmed that it did give superior results. By utilizing information about the direction (in the multivariate space) of endpoint from prior runs, the MSN statistic showed significant improvement over the traditional multivariate T2 statistic, that does not use any prior knowledge for detection. Another important aspect of the work was in characterizing the nature of multivariate noise, and understanding how different multivariate algorithms treat the different forms of multivariate noise. In general, we found that multivariate noise could be broadly classified into two components ...
by Brian E. Goodlin.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
7

Fukumoto, Hiroshi. "Model Analysis of Plasma-Surface Interactions during Silicon Oxide Etching in Fluorocarbon Plasmas." 京都大学 (Kyoto University), 2012. http://hdl.handle.net/2433/158076.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Brihoum, Mélissa. "Miniaturisation des grilles de transistors : Etude de l'intérêt des plasmas pulsés." Thesis, Grenoble, 2013. http://www.theses.fr/2013GRENT073.

Full text
Abstract:
L'industrie de la microélectronique s'appuie sur l'évolution constante de la miniaturisation des transistors. D'ici 2016, cette industrie atteindra le nœud technologique 16 nm dans lequel il faudra être capable de graver des structures de dimensions nanométrique ayant de très forts facteurs d'aspect. Cependant, les procédés de gravure actuels montrent de sérieuses limitations en termes de contrôle des profils et des dimensions critiques lorsqu'il faut graver de telles structures. Les problèmes rencontrés sont liés d'une part à des limitations intrinsèques des procédés plasmas et d'autre part à l'apparition de nouveaux phénomènes lorsque la dimension des structures à graver devient nanométrique. Dans le cadre de cette thèse, un nouveau mode de fonctionnement des sources à plasma est étudié pour développer des procédés de gravure adaptés aux prochaines générations de circuits intégrés : les plasmas modulés en impulsions courtes. Les premiers travaux réalisés s'appuient sur de puissantes techniques d'analyses du plasma (spectroscopie d'absorption VUV, sonde de flux ionique, analyseur électrostatique) dans le but de mettre en évidence l'impact des paramètres de la modulation en impulsion du plasma sur ses caractéristiques physicochimiques (flux et énergie des radicaux et des ions). Ces diagnostics ont tout d'abord permis de définir très clairement les conséquences de la modulation en impulsion du plasma sur les flux de radicaux réactifs qui bombardent le substrat : le rapport de cycle est LE paramètre clé pour contrôler la chimie du plasma car il permet de contrôler le taux de fragmentation du gaz par impact électronique. Dans un second temps, nous avons également démontré que dans les plasmas électronégatifs et pour une puissance RF de polarisation donnée, l'énergie des ions augmente lorsque le rapport de cycle diminue. Fort de ces connaissances fondamentales sur les plasmas, des analyses des surfaces (XPS, MEB, Raman…) ont permis de comprendre les mécanismes mis en jeux lors de l'interaction plasma- surface. Ainsi, il a été possible de développer des procédés de gravure pulsés pour plusieurs étapes de la grille de transistor (prétraitement HBr, gravure du Si-ARC, gravure du pSi). Les prétraitements HBr sont incontournables pour réduire la rugosité de bord de ligne de transistor. Lors de cette étape, une couche riche en carbone limite l'effet bénéfique des UV du plasma sur la diminution de la rugosité. Grâce à l'utilisation des plasmas pulsés, l'origine de cette couche a été mise en évidence : elle résulte du dépôt sur les motifs d'espèces carbonées non volatiles issues de la photolyse de la résine qui sont relâchées dans le plasma. Dans ce système bicouche, les contraintes de la couche carbonée dure vont se relaxer dans le volume mou de la résine par phénomène de « buckling » qui se traduit par une hausse de la rugosité de bord de ligne. Nous avons montré que cela peut être évité en minimisant l'épaisseur de cette couche, ce qui peut être obtenu notamment en pulsant le plasma. La gravure de la couche anti-réflective Si-ARC qui sert de masque dur et celle de la grille en poly Silicium reposent sur l'utilisation de plasmas fluorocarbonés. Mais dans ce type de plasma, la production de précurseurs pour la polymérisation est diminuée quand le plasma est pulsé, conduisant à une perte de sélectivité et d'anisotropie. Les plasmas synchronisés pulsés ne sont donc pas de bons candidats pour les étapes de gravure considérées. Pour pallier à ce problème, un autre mode de polarisation a été étudié : les plasmas pour lesquels seule la puissance de polarisation est pulsée. Dans le cas de la gravure du Si-ARC, il est possible d'obtenir des profils très anisotropes avec une sélectivité vis-à-vis de la résine nettement améliorée. Pour la gravure du Silicium, les effets d'ARDE ont pu être diminués tout en améliorant la sélectivité. Ces résultats sont très encourageants
Microelectronics industry is based on the continuous transistor downscaling. By the year 2016, the 16nm technological node would be achieved, so that structures with nanometric dimensions and high aspect ratio would have to be etch. However, traditional etching processes shows major limitations in terms of pattern profiles control and critical dimensions when such structures have to be etch. The encountered problems are related directly to intrinsic limitations of plasmas processes but also to the emergence of new phenomena’s when the dimensions of structures to etch become nanometric. In the framework of this thesis, a new strategy to produce plasma has been evaluated to develop etching plasmas processes adapted to next integration circuit generations: the pulsed plasmas. Over a first phase, the impact of plasma pulsing parameters (frequency and duty cycle) on the plasma physico-chemical characteristics has been highlight. This has been achievable thanks to advanced plasma analyse techniques (VUV broad band absorption spectroscopy, ion flux probe, retarding electrical field analyser…) developed to allow time resolved measurements. For the neutral flux, diagnostics have revealed that duty cycle is THE key control knob to tune the plasma. Indeed, a low duty cycle leads to reduced parent gas fragmentation and thus a reduced chemical reactivity. On the other hand, in electronegative plasmas and for constant RF power, we have demonstrated that ion energy is considerably increased when the ions flux is decreased (i.e. when the duty cycle is decreased). Then, surface analyses (XPS, SEM, Raman spectroscopy…) brought out the mechanisms involved during the plasma-surface interaction. Deeper comprehension of impact of pulsing parameters enables to develop pulsed plasmas processes more easily. These works are focused on the top of the transistor gate and deal with the following steps: HBr cure, Si-ARC etching, poly-silicon etching. HBr cure is an essential pre-treatment of the 193 nm photoresist to decrease the Line Width Roughness (LWR) of transistor gate. During this step, a carbon rich layer is formed on the surface of the resist pattern and degrades the beneficial action of UV plasma light on LWR reduction. Thanks to use of pulsed plasmas, the origin of this carbon rich layer has been highlight: UV induced modifications in polymer bulk lead to outgassing of volatiles carbon-based products in the plasma. These carbon containing moieties are fragmented by electron impact dissociation reaction in the plasma, which create sticking carbon based precursors available for re-deposition on the resist patterns. The impact of this layer on the LWR and resist pattern reflow is studied, and a possible mechanical origin (i.e. buckling instabilities) is highlighted. Finally, we showed that the use of pulsed HBr curing plasma allows to reduce and control the thickness of the graphite-like layer and to obtain LWR reduction that are comparable to VUV treatment only. The Si-ARC layer, used as hard mask, and the poly-silicon gate etching are based on the use of fluorocarbon plasmas. However, in these plasmas, the production of radicals enable for the polymerisation is decreased when the duty cycle is reduced. It leads to loss of both anisotropy and selectivity. Synchronised pulsed plasmas are then not adapted to such etching processes. To overcome this problem, a new way to produce plasma has been studied: the ICP source power is maintained constant and only the bias power is pulsed. Regarding Si-ARC etching, very anisotropic profiles are obtained and the Si-ARC to resist selectivity is enhanced while pulsing the rf bias to the wafer. In the case of poly-silicon etching, the ARDE effects are significantly reduced while the selectivity regarding the oxide is improved. These results are very promising for the development of polymerising plasmas processes
APA, Harvard, Vancouver, ISO, and other styles
9

Astell-Burt, P. J. "Studies on etching and polymer deposition in halocarbon plasmas." Thesis, University of Oxford, 1987. http://ora.ox.ac.uk/objects/uuid:d8fd1069-a66b-4372-8ba0-b9ca5367445c.

Full text
Abstract:
Plasma etching, the selective removal of materials by reaction with chemically active species formed in a glow-discharge, is widely used by the electronics industry because of the advantages over 'wet' processes. The full potential has yet to be realised because chemical processes occuring in the plasma and at the plasma/substrate interface are incompletely understood. In this work attention was focussed on the accumulation of polymers on surfaces during plasma etching in fluorocarbon gases. An apparatus was designed and constructed to explore the conditions which give rise to these deposits by: i) The detection of the excited species such as CF and CF2 (by optical emission spectroscopy); and ii) The rate of accumulation or removal of deposits (by means of a quartz crystal microbalance). The gases CF4, C2F6, C3F8 and CHF3 were used at pressures between 200-600mT, together with mixtures with H2 and a few runs with other gases to vary the partial pressures of etching and polymerizing species. Both substrate effect of, viz silicon and thermally oxidised silicon (SiO2), and electrode materials effects have been examined. Polymer production from C3F8 has been found to be more sensitive to electrode composition than that from CHF3, but the material formed is overall less thermally stable. On the other hand, polymers produced from C3F8 accumulate at similar rates on Si and SiO2, whereas those from CHF3 show a much greater liklihood of building up on Si than SiO2 . XPS and infra-red spectroscopy have been used to demonstrate that polymers arising from these two gases exhibit marked structural differences, which can be minimised by mixing H2 with C3F8. These effects can be correlated with the decomposition products expected in the plasma.
APA, Harvard, Vancouver, ISO, and other styles
10

Toogood, Matthew John. "Studies of the chemistry of plasmas used for semiconductor etching." Thesis, University of Oxford, 1991. http://ora.ox.ac.uk/objects/uuid:e234bbaa-d6e6-4ac8-a3dd-aa9a2c1b1e39.

Full text
Abstract:
Optical diagnostic techniques have been developed and then used to investigate the chemistry of reactive species formed in CF4 / O2 rf parallel plate discharges, similar to those employed in semiconductor material processing. Oxygen atoms were detected by two photon laser induced fluorescence (LIF), and the technique was found to have a number of experimental caveats owing mainly to the high laser intensities required. In particular, amplified spontaneous emission (ASE), was observed from laser excitation of oxygen, and was found to influence the spontaneous fluorescence signal and thus question the use of LIF for ground state concentration measurements in these systems. The spin orbit states of the 3p 3P level were resolved for the first time, both in using high resolution excitation experiments and also as a consequence of detecting ASE. Spin orbit temperatures of less than 50° above ambient were observed. The absolute concentration of oxygen has been found to be 7.4 ± 1.4 x 1013 cm-3 in a 50 mTorr, 100 W, 85% O2 / CF4 plasma. Optical emission was also used to study fluorine atoms and to examine the use of the actinometered emission technique as a measure of ground state concentrations. The latter was investigated directly by comparison with LIF measurements of O and CF2, and in many cases shown to be a poor representation of the ground state concentration. To investigate the chemical and physical processes in the plasma, time resolved methods are required and a new technique, time resolved actinometry, has been developed, tested by comparison with LIF measurements and then used to study the kinetics of fluorine atoms. Results have shown the importance of wall reaction rates on the magnitude of the fluorine atom concentrations, and the sensitivity of these concentrations to the nature of the surface, particularly in the presence of oxygen and silicon. Oxygen has also been shown to be removed predominantly at the surface but the influence of gas phase reactions with CFx radicals is apparent in discharges containing low percentages of O2. Studies on an afterglow type, electron cyclotron resonance reactor have been carried out as a comparison to the parallel plate system, and high excitation and dissociation levels have been observed from differences in the emission intensities and from measured values of the absolute CF and CF2 concentrations. The use of LIF as a diagnostic for CF has been investigated by probing the predissociation of the A2Σ+ state. Emission from the A2Σ+ (v = 2) level has been seen for the first time, and a J independent predissociation mechanism, with a rate of 3 x 109 s-1 has been observed.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Plasma etching"

1

M, Manos Dennis, and Flamm Daniel L, eds. Plasma etching: An introduction. Boston: Academic Press, 1989.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Sugawara, M. Plasma etching: Fundamentals and applications. New York: Oxford University Press, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Hull, David R. Plasma etching a ceramic composite. [Washington, DC]: National Aeronautics and Space Administration, 1992.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Morgan, Russ A. Plasma etching in semiconductor fabrication. Amsterdam: Elsevier, 1985.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

NATO Advanced Study Institute on Plasma Processing of Semiconductors (1996 Bonas, France). Plasma processing of semiconductors. Dordrecht: Kluwer Academic Publishers, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Chen, Hsin-Yi. Inductively coupled plasma etching of InP. Ottawa: National Library of Canada, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

F, Williams P., ed. Plasma processing of semiconductors. Boston: Kluwer, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Symposium, on Plasma Processing (5th 1984 New Orleans La ). Proceedings of the Fifth Symposium on Plasma Processing. Pennington, NJ (10 S. Main St., Pennington 08534-2896): Dielectrics and Insulation and Electronics Divisions, Electrochemical Society, 1985.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

International Symposium on Plasma Processing (14th 2002 Philadelphia, Pa.). Plasma processing XIV: Proceedings of the International Symposium. Edited by Mathad G. S, Electrochemical Society. Dielectric Science and Technology Division., Electrochemical Society Electronics Division, and Electrochemical Society Meeting. Pennington, NJ: Electrochemical Society, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Symposium on Plasma Processing (13th 2000 Toronto, Ont.). Plasma processing XIII: Proceedings of the International Symposium. Edited by Mathad G. S, Electrochemical Society. Dielectric Science and Technology Division., Electrochemical Society Electronics Division, and Electrochemical Society Meeting. Pennington, New Jersey: Electrochemical Society., 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Plasma etching"

1

Chung, Chen-Kuei. "Plasma Etching." In Encyclopedia of Microfluidics and Nanofluidics, 2766–81. New York, NY: Springer New York, 2015. http://dx.doi.org/10.1007/978-1-4614-5491-5_1251.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Chung, Chen-Kuei. "Plasma Etching." In Encyclopedia of Microfluidics and Nanofluidics, 1–18. Boston, MA: Springer US, 2014. http://dx.doi.org/10.1007/978-3-642-27758-0_1251-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Winter, Patrick M., Gregory M. Lanza, Samuel A. Wickline, Marc Madou, Chunlei Wang, Parag B. Deotare, Marko Loncar, et al. "Plasma Etching." In Encyclopedia of Nanotechnology, 2126. Dordrecht: Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-90-481-9751-4_100659.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Gooch, Jan W. "Plasma Etching." In Encyclopedic Dictionary of Polymers, 540. New York, NY: Springer New York, 2011. http://dx.doi.org/10.1007/978-1-4419-6247-8_8802.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Roualdes, Stephanie. "Plasma Etching." In Encyclopedia of Membranes, 1–2. Berlin, Heidelberg: Springer Berlin Heidelberg, 2015. http://dx.doi.org/10.1007/978-3-642-40872-4_1224-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Mader, H. "Plasma-Assisted Etching." In Micro System Technologies 90, 357–65. Berlin, Heidelberg: Springer Berlin Heidelberg, 1990. http://dx.doi.org/10.1007/978-3-642-45678-7_51.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

d’Agostino, Riccardo, and Francesco Fracassi. "Plasma Etching Processes." In Crucial Issues in Semiconductor Materials and Processing Technologies, 257–75. Dordrecht: Springer Netherlands, 1992. http://dx.doi.org/10.1007/978-94-011-2714-1_27.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

van Roosmalen, A. J., J. A. G. Baggerman, and S. J. H. Brader. "The Plasma State." In Dry Etching for VLSI, 5–15. Boston, MA: Springer US, 1991. http://dx.doi.org/10.1007/978-1-4899-2566-4_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

d’Agostino, Riccardo, and Francesco Fracassi. "Plasma Etching Processes and Diagnostics." In Plasma Technology, 93–107. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3400-6_7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Resnick, D. J. "Photomask Etching." In Handbook of Advanced Plasma Processing Techniques, 361–418. Berlin, Heidelberg: Springer Berlin Heidelberg, 2000. http://dx.doi.org/10.1007/978-3-642-56989-0_9.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Plasma etching"

1

Agarwal, A., and M. J. Kushner. "Plasma atomic layer etching." In The 33rd IEEE International Conference on Plasma Science, 2006. ICOPS 2006. IEEE Conference Record - Abstracts. IEEE, 2006. http://dx.doi.org/10.1109/plasma.2006.1707342.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Wu, Xuming, Changhe Zhou, Peng Xi, Enwen Dai, Huayi Ru, and Liren Liu. "Etching quartz with inductively coupled plasma etching equipment." In Optical Science and Technology, SPIE's 48th Annual Meeting, edited by Ernst-Bernhard Kley and Hans Peter Herzig. SPIE, 2003. http://dx.doi.org/10.1117/12.504001.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Tachi, S., K. Tsujimoto, S. Arai, H. Kawakami, and S. Okudaira. "Low Temperature Microwave Plasma Etching." In 1988 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 1988. http://dx.doi.org/10.7567/ssdm.1988.s-iiib-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Bogomolov, B. K. "Plasma Chemical Etching of Silicon." In 2006 8th International Conference on Actual Problems of Electronic Instrument Engineering. IEEE, 2006. http://dx.doi.org/10.1109/apeie.2006.4292430.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Bogomolov, B. K. "Plasma Chemical Etching of Silicon." In 2006 8th International Conference on Actual Problems of Electronic Instrument Engineering. IEEE, 2006. http://dx.doi.org/10.1109/apeie.2006.4292454.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Abraham-Shrauner, B., and C. D. Wang. "Neutral etching and shadowing in trench etching of semiconductors." In International Conference on Plasma Science (papers in summary form only received). IEEE, 1995. http://dx.doi.org/10.1109/plasma.1995.531627.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Goto, Tetsuya, Masaki Hirayama, Makoto Moriguchi, Shigetoshi Sugawa, and Tadahiro Ohmi. "A New Microwave-Excited Plasma Etching Equipment separated Plasma Excited Region from Etching Process Region." In 2002 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2002. http://dx.doi.org/10.7567/ssdm.2002.p3-13.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Torigoe, R., T. Urakawa, D. Yamashita, H. Matsuzaki, G. Uchida, K. Koga, M. Shiratani, Y. Setsuhara, M. Sekine, and M. Hori. "Plasma etching resistance of plasma anisotropic CVD carbon films." In 2012 IEEE 39th International Conference on Plasma Sciences (ICOPS). IEEE, 2012. http://dx.doi.org/10.1109/plasma.2012.6383665.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Shin-ichi Imai. "Virtual metrology for plasma particle in plasma etching equipment." In 2007 International Symposium on Semiconductor Manufacturing. IEEE, 2007. http://dx.doi.org/10.1109/issm.2007.4446835.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Agarwal, Ankur, and Mark J. Kushner. "Recipes for Plasma Atomic Layer Etching." In 2007 IEEE Pulsed Power Plasma Science Conference. IEEE, 2007. http://dx.doi.org/10.1109/ppps.2007.4345771.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Plasma etching"

1

Shul, R. J., R. D. Briggs, S. J. Pearton, C. B. Vartuli, C. R. Abernathy, J. W. Lee, C. Constantine, and C. Baratt. Chlorine-based plasma etching of GaN. Office of Scientific and Technical Information (OSTI), February 1997. http://dx.doi.org/10.2172/432987.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Greenberg, K. E., P. A. Miller, R. Patteson, and B. K. Smith. Plasma-etching science meets technology in the MDL. Office of Scientific and Technical Information (OSTI), March 1993. http://dx.doi.org/10.2172/10147051.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kolodziejski, Leslie A., and Erich P. Ippen. Advanced Plasma Etching of Complex Combinations of III-V Heterostructures. Fort Belvoir, VA: Defense Technical Information Center, September 2008. http://dx.doi.org/10.21236/ada495071.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Pearton, S. J., C. B. Vartuli, J. W. Lee, S. M. Donovan, J. D. MacKenzie, C. R. Abernathy, R. J. Shul, G. F. McLane, and F. Ren. Plasma chemistries for dry etching GaN, AlN, InGaN and InAlN. Office of Scientific and Technical Information (OSTI), April 1996. http://dx.doi.org/10.2172/212561.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Economou, Demetre J., and Vincent M. Donnelly. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching. Office of Scientific and Technical Information (OSTI), May 2014. http://dx.doi.org/10.2172/1130983.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Constantine, C., D. Johnson, and C. Barratt. Parametric study of compound semiconductor etching utilizing inductively coupled plasma source. Office of Scientific and Technical Information (OSTI), July 1996. http://dx.doi.org/10.2172/266733.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Shul, R. J., K. D. Choquette, A. J. Howard, D. J. Rieger, C. A. DiRubio, R. S. Freund, and R. C. Wetzel. Ultra-smooth dry etching of GaAs using a hydrogen plasma pretreatment. Office of Scientific and Technical Information (OSTI), December 1993. http://dx.doi.org/10.2172/10115207.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Hareland, W. A., and R. J. Buss. Optical diagnostic instrument for monitoring etch uniformity during plasma etching of polysilicon in a chlorine-helium plasma. Office of Scientific and Technical Information (OSTI), June 1993. http://dx.doi.org/10.2172/10182286.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Scherer, Axel. Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE): Nanofabrication Tool for High Resolution Pattern Transfer. Fort Belvoir, VA: Defense Technical Information Center, October 2001. http://dx.doi.org/10.21236/ada396342.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Palmisiano, M. N., G. M. Peake, R. J. Shul, C. I. Ashby, J. G. Cederberg, M. J. Hafich, and R. M. Biefeld. Inductively Coupled Plasma Reactive Ion Etching of AlGaAsSb and InGaAsSb for Quaternary Antimonide MIM Thermophotovoltaics. Office of Scientific and Technical Information (OSTI), October 2002. http://dx.doi.org/10.2172/805334.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography