Dissertations / Theses on the topic 'Plasma deposition'

To see the other types of publications on this topic, follow the link: Plasma deposition.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Plasma deposition.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Rajendiran, Sudha. "Plasma enhanced pulsed laser deposition." Thesis, University of York, 2017. http://etheses.whiterose.ac.uk/20437/.

Full text
Abstract:
This thesis introduces a novel deposition technique, Plasma-Enhanced Pulsed Laser Deposition (PE-PLD) that attempts to overcome limitations in traditional PLD by combining it with a background oxygen RF plasma instead of a neutral gas. Advantages of this novel technique for the deposition of metal-oxide films include, the use of simple, pure metal targets instead of metal-oxide composite targets and the lack of the necessity for substrate heating and post-annealing to obtain high-quality films. The feasibility of this method was studied both numerically and experimentally. Numerical simulations of the laser ablation process and an Inductively Coupled Plasma (ICP), i.e. the oxygen RF plasma, using different 2D hydrodynamic codes, found that the densities of the Cu plume and ICP were similar in front of the substrate, allowing the necessary interaction between them to oxidize the Cu and deposit a CuO film. Time-resolved optical emission spectroscopy provided electron temperatures and densities that were used to benchmark the modelling results as well as provide some insight into the process of slowing down of the plume due to the background gas. Also, the assumption of Local Thermodynamic Equilibrium (LTE), commonly used in these diagnostic techniques, was investigated and found to not be strictly full filled for most of the ablation process, meaning that further investigations are needed to confirm the validity of these diagnostics. Finally, copper oxide thin films were deposited using PE-PLD. Analysis of the composition showed that high-quality films could be formed and that at a low oxygen pressure stoichiometric, polycrystalline CuO was formed, while at a higher pressure stoichiometric, polycrystalline Cu2O was deposited.
APA, Harvard, Vancouver, ISO, and other styles
2

Haque, Yasmeen. "Deposition of plasma polymerized thin films /." Thesis, Connect to this title online; UW restricted, 1985. http://hdl.handle.net/1773/9848.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Bao, Yuqing. "Plasma spray deposition of polymer coatings." Thesis, Brunel University, 1995. http://bura.brunel.ac.uk/handle/2438/5152.

Full text
Abstract:
This work investigates the feasibility of the use of plasma spray deposition as a method of producing high performance polymer coatings. The work concentrates on the understanding of the processing of the plasma spraying of polymers, the behaviour of polymeric materials during deposition, and the study of process-structure-properties relationships. Processing modelling for the three stages of the evolution of a polymer deposit (droplet-splat-coating) has been carried out using heat transfer theory. A theoretical model is proposed which consists of three parts: the first part predicts the temperature profile of in-flight particles within plasma jet, the second part predicts the cooling of isolated splats impacting on a substrate and the third part, the heat transfer through the coating thickness. The heat transfer analysis predicts that the development of large temperature gradients within the particle is a general characteristics of polymers during plasma spraying. This causes difficulties for polymer particles to be effectively molten within the plasma jet without decomposition. The theoretical calculations have predicted the effect of processing parameters on the temperature, the degree of melting and decomposition of in-flight polymer particles. With the aid of the model, the conditions for the preparation of high integrity thermoplastic deposits have been established by the control of the plasma arc power, plasma spraying distance, feedstock powder injection, torch traverse speed and feedstock particle size. The optimal deposition conditions are designed to produce effective particle melting in the plasma, extensive flow on impact, and minimal thermal degradation. The experimental work on optimizing processing parameters has confirmed the theoretical predictions. Examination of polymer coating structures reveals that the major defects are unmelted particles, cracks and pores. Five major categories of pores have been classified. It also revealed a significant loss in crystallinity and the presence of a minor metastable phase in the plasma deposited polyamide coatings due to rapid solidification. The study has indicated that the molecular weight of a polymer plays an important role on the splat flow and coating structure. Under non-optimal deposition condition, substantial thermal degradation occurred for which a chain scission mechanism is proposed for plasma deposited polyamide coatings. There are difficulties in achieving cross-linking during plasma spray deposition of thermosets. The theoretical calculations predict that adequate cross-linking is unlikely in a coating deposited under normal conditions, but preheating the substrate to above the cross-linking temperature improves the degree of cross-linking of the coatings substantially. In addition, the coating thickness has a major effect on the degree of cross-linking of thermosets. The calculations also predict that lowering the thermal conductivity by applying a thermal barrier undercoat and using a faster curing agent to reduce time required for the cross-linking reaction can improve the degree of cross-linking of thermoset deposits. The experimental results for the degree of cross-linking and wear resistance confirmed these predictions.
APA, Harvard, Vancouver, ISO, and other styles
4

Ja'fer, Hussein Abidjwad. "Plasma-assisted deposition using an unbalanced magnetron." Thesis, Loughborough University, 1993. https://dspace.lboro.ac.uk/2134/27734.

Full text
Abstract:
It is well known that ion bombardment of growing films can strongly influence their microstructure and consequently their physical properties. The available technology for ion assisted deposition, particularly where separate sources are used for the deposition flux and the ion flux, is difficult to implement in many production situations. The planar magnetron provides a controllable ion flux while retaining the many other desirable features of simplicity, high deposition rate, geometric versatility and tolerance of reactive gases. This assists in the implementation of ion beam assisted deposition in both research and production.
APA, Harvard, Vancouver, ISO, and other styles
5

Oberste, Berghaus Jörg. "Induction plasma deposition of diamond thin films." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1996. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/MQ44100.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Oberste, Berghaus Jürg. "Induction plasma deposition of diamond thin films." Thesis, McGill University, 1996. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=20153.

Full text
Abstract:
Diamonds unrivaled properties generate an enormous potential in industrial applications for thin film diamond coatings. Diamond has the highest values of hardness, thermal conductivity and elastic modules of any known material. Polycrystalline diamond coatings can be produced from thermal plasmas by Chemical Vapor Deposition (CVD). The films created by this process are often very non uniform over the deposition area. The properties of the free flowing plasma above the deposition surface and the plasma chemistry in the boundary layer above the growing film are believed to play fundamental roles in the formation of the diamond film and its uniformity.
In this study, an Ar/H2/CH4 plasma (8.65% H 2, 0.25% CH4) was created by a rf inductively coupled plasma torch for the deposition of diamond thin films on a molybdenum substrate probe (5 mm diam.). With the probe surface oriented normal to the plasma flow, growth rates in the order of 70 gm/hr were obtained for highly crystalline continuous films. Temperature and electron density profiles in the plasma free flow were determined from measurements by emission spectroscopy. (Abstract shortened by UMI.)
APA, Harvard, Vancouver, ISO, and other styles
7

Hossain, Mohammad Mokbul. "Plasma technology for deposition and surface modification." Berlin Logos, 2008. http://d-nb.info/993574106/04.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Oberste, Berghaus Jürg. "Substrate bias assisted RF thermal plasma diamond deposition." Thesis, McGill University, 2000. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=37803.

Full text
Abstract:
Polycrystalline diamond films are produced by chemical vapor deposition (CVD) in a r.f.-induction thermal plasma system. A dc bias voltage between -400 V and +500 V is applied to the deposition substrate. This is made possible by maintaining the reactor environment at ground potential and introducing a high-impedance, high-power filter network, eliminating the r.f. voltage drop across the plasma-probe junction. The Ar, H2, CH4 plasma (8.45% H2, 0.21% CH4) impinges on a molybdenum substrate probe (5 mm in diameter) in stagnation point flow. The resulting diamond films are analyzed by Scanning Electron Microscopy (SEM) and Raman Spectroscopy. The initial nucleation density is enhanced at negative bias voltage. However, this comes at the expense of degradation in crystalline quality. Positive voltage improves the quality and augments the film growth rate. A threefold increase in linear growth rate is attained at +500 V as compared to the unbiased case. The growing diamond film is used as an electrical and thermal probe. Electron emission currents from the developing diamond structures are exploited to monitor the film evolution during deposition. Diamond nucleation and growth stages are identified, and the bias voltage is varied in-situ to adjust to the changing growth requirements. A numerical simulation and optical emission spectroscopic measurements are used to characterize the plasma free stream as well as the boundary layer region between the plasma and the substrate. Current-voltage characteristics of the substrate are interpreted, and electrical probe theory is applied. It is shown that at negative bias the plasma-substrate interface is described by an expanding collision-dominated sheath imbedded inside the chemically reacting thermal boundary layer. Contrary to dc arcjet CVD, there is no secondary discharge created in the r.f. system at positive bias voltage. Also, the role of ion bombardment at negative bias is shown to be of little importance. It is infer
APA, Harvard, Vancouver, ISO, and other styles
9

Liu, Junling. "Plasma spray deposition of silicon nitride composite coatings." Thesis, London South Bank University, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.288111.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Kim, B., Ye M. Ko, and K. H. Kim. "Hydroxyapatite Nanocrystal Deposition on Plasma Modified Titanium Surface." Thesis, Sumy State University, 2012. http://essuir.sumdu.edu.ua/handle/123456789/34951.

Full text
Abstract:
Hydroxyapatite (Ca10(PO4)6(OH)2, HAp) is materials mainly known for its special ability to contact bone tissue. Nanostructures on implant surfaces, a coating composed of nano-HAp particles on Ti, have aroused increasing research interest in the biomedical field. In this study, we prepared HAp nanocrystal coated Ti surface by plasma surface modification and wet chemical method and then evaluated biological behavior of MC3T3-E1 on the HAp coated on plasma modified Ti surface. Nano-size crystals of sintered HAp were uniformly coated on polyacrylic acid (PAA) deposited Ti surface through the ionic interaction between calcium ions on the HAp nanocrystal and carboxyl groups on the PAA/Ti. In vitro cell tests revealed surface modification of Ti surface with HAp nanocrystal significantly improved the proliferation and growth of the osteoblastic MC3T3-E1 cells and induced them to differentiate at an enhanced level. When you are citing the document, use the following link http://essuir.sumdu.edu.ua/handle/123456789/34951
APA, Harvard, Vancouver, ISO, and other styles
11

Kaiser, Nickolas R. "Pulsed Plasma Deposition of Surface Functional Thin Films." University of Akron / OhioLINK, 2017. http://rave.ohiolink.edu/etdc/view?acc_num=akron1491399151549547.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Mertens, Jeremy. "Atmospheric plasma treatment of aluminum alloy surfaces: Oxide growth and oxygen rich organic coating deposition." Doctoral thesis, Universite Libre de Bruxelles, 2019. https://dipot.ulb.ac.be/dspace/bitstream/2013/287803/3/these.pdf.

Full text
Abstract:
L’objectif de cette thèse consiste en une étude fondamentale de différentes approches pour la modification de surfaces d’alliages d’aluminium. Elle s’inscrit dans le cadre du projet FLYCOAT, subventionné par la région Wallonne. Ce dernier avait pour objectif le développement d’alternatives au couplage classique d’un procédé d’anodisation utilisant des bains de Cr (VI) aux résines époxy pour la protection des alliages d’aluminium contre la corrosion. Dans un premier temps, la synthèse par plasma atmosphérique dans un réacteur de type décharge à barrière diélectrique (DBD) de films riches en groupements carboxyliques à partir de 8 précurseurs organiques est étudiée. Une attention particulière est portée à la compréhension fondamentale des mécanismes de polymérisation de ces précurseurs. L’influence significative de minimes variations de la structure chimique du précurseur est étudiée. Concrètement, nous démontrons l’impact de la présence et de la position de doubles liaisons ou encore le ratio C/O dans le monomère injecté sur le mécanisme de synthèse des couches déposées. Pour ce faire, une méthodologie combinant des analyses de la phase plasma et des films déposés est proposée. Les propriétés électriques de la DBD d’argon sont évaluées par oscilloscope avant et durant l’injection des différents précurseurs. La quantité d’énergie transférée de la décharge vers le précurseur est évaluée par spectroscopie d’émission optique et corrélée à sa structure. Une fragmentation réduite est mise en évidence par spectrométrie de masse pour les monomères contenant une double liaison. Ces analyses de la phase plasma sont alors corrélées avec les propriétés physiques et chimiques des films synthétisés. Les compositions chimiques de surface et de la matrice des couches minces sont étudiées par spectroscopie à photoélectrons X (XPS) et infrarouge. Le rôle essentiel de la présence et de la position de la double liaison dans la molécule injectée est démontré. Les vitesses de dépôt et la rugosité des films déposés par plasma atmosphérique avec l’injection des 8 précurseurs sont évaluées par profilométrie à stylet. Dans la seconde partie, le couplage de deux méthodes de plasma atmosphérique est proposé pour la synthèse de couches d’alumine aux propriétés adaptables. Le premier traitement consiste en un nombre varié de passages d’une torche plasma opérant dans un régime d’arc. L’effet du nombre de passages sur les propriétés physiques et chimiques du substrat est étudié par XPS, angle de contact, microscopie électronique à balayage et mesures de diffraction à rayons X. Une corrélation est suggérée entre le nombre de passages de la torche et les propriétés électrochimiques du substrat. L’influence de ce premier traitement sur les propriétés de la couche d’oxyde d’aluminium synthétisée par oxydation par plasma électrolytique est mise en évidence. Dans un troisième temps, le plasma pouvant être considéré comme un réservoir d’énergie, une étude de faisabilité est réalisée afin d’évaluer sa potentielle utilisation pour la réticulation d’une résine de type benzoxazine. L’efficacité du traitement par DBD atmosphérique d’argon ou hélium est comparée et discutée.
Doctorat en Sciences
info:eu-repo/semantics/nonPublished
APA, Harvard, Vancouver, ISO, and other styles
13

Gustavsson, Lars-Erik. "Hollow Cathode Deposition of Thin Films." Doctoral thesis, Uppsala : Acta Universitatis Upsaliensis : Universitetsbiblioteket [distributör], 2006. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-6925.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Rosenblad, Carsten. "Development of a plasma enhanced chemical vapour deposition system /." [S.l.] : [s.n.], 2000. http://e-collection.ethbib.ethz.ch/show?type=diss&nr=13601.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Fu, King Yu. "Plasma implantation and deposition for advanced materials surface modification /." access full-text access abstract and table of contents, 2005. http://libweb.cityu.edu.hk/cgi-bin/ezdb/thesis.pl?phd-ap-b19887310a.pdf.

Full text
Abstract:
Thesis (Ph. D.)--City University of Hong Kong, 2005.
"Submitted to Department of Physics and Materials Sciences in partial fulfillment of the requirements for the degree of Philosophy of Doctor." Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
16

Bray, Kevin R. "Surface Mechanisms in Low-Temperature Plasma Deposition of Silicon." NCSU, 2002. http://www.lib.ncsu.edu/theses/available/etd-08122002-155312/.

Full text
Abstract:
Dynamic scaling of surface roughness evolution during plasma deposition of amorphous silicon is utilized to characterize surface transport mechanisms. Different surface transport mechanisms leave distinct imprints in the surface that can be distinguished through fractal analysis. Surface roughness is characterized using atomic force microscopy (AFM) and the static and dynamic scaling coefficients a and b and the lateral correlation length Lc are extracted from the evolution of surface roughness and are used to identify surface mechanisms. Values of a = 1.0 and b = 0.3 have been obtained, which reveal that surfaces are smoothed through surface diffusion during film growth. Temperature dependence of the Lc is used to calculate diffusion barriers with values around 0.2 eV, consistent with the diffusion of a weakly bound physisorbed species. The effects of process conditions such as substrate temperature, diluent gases composition, process pressure, RF power density, and reactor configuration on the scaling parameters have been investigated. An amorphous silicon kinetic growth model has been developed that incorporates surface coverage dependent diffusion barriers. The model reproduces most experimentally observed results, although some deposition conditions are identified where the model is not valid.
APA, Harvard, Vancouver, ISO, and other styles
17

Rodgers, Seth Thomas 1970. "Multiscale modeling of chemical vapor deposition and plasma etching." Thesis, Massachusetts Institute of Technology, 2000. http://hdl.handle.net/1721.1/28219.

Full text
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 2000.
Includes bibliographical references.
In this work, a framework and a set of modeling tools capable of describing systems with key processes occurring on widely separated length and time scales has been developed. The major focus of this work is linking atomistic and continuum descriptions of gas phase transport. This problem is of considerable practical interest, as most etching and CVD processes are run at low pressures ~ 1 torr or less. Under these conditions, the continuum diffusion models used to describe flow and transport in a typical reactor will fail below scales of a few hundred microns, and thus are not useful in describing transport in and around microscale topography. This is a serious limitation, as such topography is present in most microelectronic devices. Two methods for linking discrete particle (or feature scale) and continuum models of precursor transport are presented. The discrete and continuum models are coupled by boundary conditions at their mutual interface (just above any reactive surface with microscale detail) The first approach employs an effective reactivity function e,, which is computed through a hybrid probabilistic-deterministic MC method e. can be interpreted as a representation of the average fate of molecules entering the feature scale domain from the macroscopic model. An example of tungsten CVD over a substrate with surface topography typical of modern microelectronic devices is presented. A second, deterministic technique was also developed as an improvement on the Monte Carlo approach. The deterministic method uses the matrix of transmission probabilities, or shape kernel, to summarize all microscale events in a fashion consistent with a continuum macroscopic model. The deterministic linking algorithm is over 1,000 times faster than the previously presented MC method. The speed advantage enables simulation of detailed chemistry. Plasma etching presents a very similar multiscale problem and a strategy for linked plasma etching simulations is presented. Finally, a study of ionized physical vapor deposition of aluminum is presented as an example of atomistic-continuum linking. Molecular dynamics simulations are used to represent atomistic events. The Molecular Dynamics results are summarized in a manner that allows the combination of atomistic information with a continuum (level -set) model for evolution of the deposited metal film.
by Seth Thomas Rodgers.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
18

Schreiber, Simon Johannes. "Plasma deposition of microcrystalline silicon for thin film transistors." Thesis, University of Cambridge, 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.621413.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Sawtell, David Arthur Gregory. "Plasma enhanced chemical vapour deposition of silica thin films." Thesis, University of Manchester, 2011. https://www.research.manchester.ac.uk/portal/en/theses/plasma-enhanced-chemical-vapour-deposition-of-silica-thin-films(2c75bbd8-8d89-42f2-b926-b464e619b4aa).html.

Full text
Abstract:
Atmospheric pressure chemical vapour deposition is an industrially significant process for forming functional thin films. There is a great opportunity for increased scientific understanding with the aim of improving current processes and helping to formulate new ones. This work is concerned with developing a methodology to assist this ongoing concern. A combination of spectroscopic and chemometric techniques are used to investigate several chemical vapour deposition processes. The first investigation concerns the spatial concentration mapping of key by-products during the thermal chemical vapour deposition of tin oxide films through the use of near infra red laser diode spectroscopy. This novel two dimensional characterisation of the process has identified reaction hotspots within the process, and has identified the redundancy of part of the exhaust mechanism. Subsequently, there has been improvements to the head design, and the operation of the process.The main thrust of the investigations are focussed towards the use of chemometric methods, such as experimental design and principal components analysis, in conjunction with a suite of spectroscopic measurement techniques, to analyse the plasma enhanced chemical vapour deposition of silica films. This work has shown the importance of active oxygen species on the chemistry. It has also been shown that the film properties are highly dependant on oxygen concentration in the reactor, and hence active oxygen species forming in the plasma. The identification of by-products in the silica deposition process has also been carried out for the first time. Finally, this work also presents the first rigorous studies of a new precursor for silica deposition, dichlorodimethylsilane.
APA, Harvard, Vancouver, ISO, and other styles
20

Haberer, Elaine D. (Elaine Denise) 1975. "Particle generation in a chemical vapor deposition/plasma-enhanced chemical vapor deposition interlayer dielectric tool." Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/8992.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 1998.
Includes bibliographical references (p. 77-79).
The interlayer dielectric plays an important role in multilevel integration. Material choice, processing, and contamination greatly impact the performance of the layer. In this study, particle generation, deposition, and adhesion mechanisms are reviewed. In particular, four important sources of interlayer dielectric particle contamination were investigated: the cleanroom environment, improper wafer handling, the backside of the wafer, and microarcing during process.
by Elaine D. Haberer.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
21

Oates, Thomas William Henry. "Metal plasma immersion ion implantation and deposition using polymer substrates." Connect to full text, 2003. http://hdl.handle.net/2123/571.

Full text
Abstract:
Thesis (Ph. D.)--University of Sydney, 2004.
Title from title screen (viewed 5 May 2008). Submitted in fulfilment of the requirements for the degree of Doctor of Philosophy to the School of Physics, Faculty of Science. Degree awarded 2004; thesis submitted 2003. Includes bibliographical references. Also available in print form.
APA, Harvard, Vancouver, ISO, and other styles
22

Sharma, Rajan. "Deposition of gate quality dielectrics for Si/Si-Ge heterostructure devices using remote plasma chemical vapor deposition /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Yu, Qingsong. "Plasma deposition and treatment by a low temperature cascade arc torch /." free to MU campus, to others for purchase, 1998. http://wwwlib.umi.com/cr/mo/fullcit?p9904876.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Miller, Larry M. "Plasma enhanced chemical vapor deposition of thin aluminum oxide films." Ohio : Ohio University, 1993. http://www.ohiolink.edu/etd/view.cgi?ohiou1175717717.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Nave, Andy [Verfasser]. "Physico-chemical investigation of plasma induced deposition processes / Andy Nave." Greifswald : Universitätsbibliothek Greifswald, 2017. http://d-nb.info/1141405997/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Eyre, Graham Robert. "Deposition of organic thin films by plasma and photochemical techniques." Thesis, Durham University, 1990. http://etheses.dur.ac.uk/9319/.

Full text
Abstract:
The work detailed in this thesis concerns organic thin films synthesised either using R.F. inductively coupled plasmas excited in unsaturated monomers containing either fluorine or a nitrile group, or else irradiating the said monomers in vacuo using ultraviolet light. The effect of the following parameters on the composition and structure of the resultant films was determined using ESCA/XPS : a) power input to the R.F. plasma system, b) photon flux during UV irradiation, c) monomer type (including structural isomerism), and d) monomer flow rate. Relative system deposition rates were rationalised in terms of Yasuda's parameter, W/FM, which was found to hold true qualitatively, if not quantitatively. Introduction of halogen vapour to the plasma system in the presence of nitrile monomers physically decreased the glow volume. Analysis by ESCA and UV absorption spectroscopy revealed the presence of ionic halogen species in the resultant films. An overall decrease in deposition rate of the system was also observed. A similar result for the latter was seen for UV irradiation in the presence of iodine. The results were rationalised by assigning a free radical mechanism for both plasma and photochemical film deposition which is inhibited by halogens. Films formed by irradiation at >200 nm were found to have differing chemical compositions compared to those obtained in the vacuum ultraviolet (<200 nm). This result was attributed to the differing photochemistries occurring in the two wavelength regions. Reference to the gas-phase photochemical literature enabled identification of the likely intermediates and term states involved, including 1,1 and 1,2 molecular elimination from ethylenic monomers in the vacuum UV to give the respective ethynes, together with secondary photolysis products. Consequently a mechanism for surface photopolymerisation was outlined which was compared with that proposed for plasma polymerisation, both of which involve vibrationally excited ground states for the monomers studied.
APA, Harvard, Vancouver, ISO, and other styles
27

El-Hossary, F. M. "Thin-film amorphous carbon prepared by plasma chemical deposition processes." Thesis, University of Strathclyde, 1986. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.381486.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Rashid, Riyaz. "Low temperature electron cyclotron resonance plasma deposition of silicon dioxide." Thesis, University of Cambridge, 2002. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.620439.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Bless, Frédéric. "Investigation of atmospheric-pressure plasma discharges for thin film deposition." Thesis, University of Liverpool, 2015. http://livrepository.liverpool.ac.uk/2050119/.

Full text
Abstract:
Gas-phase polymerisation of different monomers has been studied for an atmospheric plasma jet predominantly using quadrupole mass spectrometry. Thin film depositions were made and analysed using XPS and TOF-SIMS. Time-resolved and time-averaged measurements were performed to understand more deeply the paths of plasma polymerisation at atmospheric pressure and in ambient air. Continuous wave and pulse DC jets were both investigated. Results show the importance of positive ions in polymerisation and support the step growth formation for the creation of heavy oligomers. Negative ions are also important and depend far more on the signal frequency, more precisely on the off-time duration, than the positive ions. Another study was performed on an adaptable plasma system for atomic layer deposition allowing deposition of oxides at room temperature. Results show a high quality of Al2O3 films realised at room temperature with air as an oxygen-rich gas with the use of a custom-built surface discharge plasma reactor.
APA, Harvard, Vancouver, ISO, and other styles
30

Wang, Zhen Hua. "The application of parallel light detection to plasma deposition processes." Thesis, The University of Sydney, 1993. https://hdl.handle.net/2123/26606.

Full text
Abstract:
This thesis is concerned with the application of parallel light detection to a variety of physical processes, many of which are plasma processes. Parallel light detection is carried out using an optical multichannel analyser (OMA) coupled with a 1024channel photodiode array detector, and a spectrograph if the spectral information is required. The plasma processes investigated include a planar DC sputtering deposition system, a cathodic titanium vacuum arc and a magnetic solenoid filtered vacuum arc deposition system. An application of the optical multichannel analyser in spectrophotometry is presented. Because of parallel detection of light the OMA-base d spectrophotometer is able to collect optical reflectance and transmittance data at a rate of up to 1024 samples in 17 ms compared with a commercial spectrophotometer which normally has the highest useable rate of 10 samples per second. Using parallel light detection an in—situ monitoring system is set up on a planar DC sputtering deposition system. A number of metal thin films Cu, Ag and Au are produced and their reflectance and transmittance are measured in-situ. It is shown the percolation thickness of silver film produced by sputtering is about 4.7 nm. The optical constants of these films at thicknesses ranging from discrete island form to tens of nanometres are derived from the in-situ measured data using an inverse analysis technique. The light emission from the cathode spot of a titanium vacuum arc is studied using Fizeau interferometry combined with the OMA. The temperatures of neutrals and ions in the cathode spot are determined from Doppler broadening of the emission lines. Temperatures of -3x 105K and 3.5x 104K are assigned for titanium ions and titanium atoms present in the cathode spot respectively. The light emission from a vacuum arc deposition system which has a curved magnetic solenoid between the vacuum arc chamber and the deposition chamber is also studied. The light is detected in the region near the substrate so the effect of magnetic field, ambient gases and substrate bias to the film deposition can be investigated. It is shown that the curved magnetic solenoid effectively removes the neutral species. The introduction of substrate increases the neutral emission due to resputtering of the coated substrate. The ambient gases increase ion emission as a function of their atomic weight, and the substrate bias also increases the ion emission. Defects of optical fibres are studied by cathodoluminescence spectroscopy using the OMA and an electron microscope. The cathodoluminescence spectra obtained for various optical fibre preform samples exhibit a number of defect centres GeE', SiE' and drawing induced defects (DID). It is shown the codopant phosphorus decreases the E' defects and DID while codopants boron and fluorine decreases the DID. It is also shown the oxygen-deficient deposition enhances the GeE' centres. Finally, the optical properties of a Bicron wavelength shifting panel (BC-480) is studied using parallel light detection technique. The quantum efficiency of the panel is found for six incident UV radiation of different wavelengths. This data is crucial in studies into solar neutrinos in high energy particle physics. They range from 43% at 365 nm to 1.5% at 254 nm.
APA, Harvard, Vancouver, ISO, and other styles
31

Oates, T. W. H. "Metal plasma immersion ion implantation and deposition using polymer substrates." Thesis, The University of Sydney, 2003. http://hdl.handle.net/2123/571.

Full text
Abstract:
This thesis investigates the application of plasma immersion ion implantation (PIII) to polymers. PIII requires that a high negative potential be applied to the surface of the material while it is immersed in a plasma. This presents a problem for insulating materials such as polymers, since the implanting ions carry charge to the surface, resulting in a charge accumulation that effectively neutralises the applied potential. This causes the plasma sheath at the surface to collapse a short time after the potential is applied. Measurements of the sheath dynamics, including the collapsing sheath, are performed using an electric probe. The results are compared to theoretical models of the plasma sheath based on the Child-Langmuir law for high voltage sheaths. The theoretical model predicts well the sheath dynamics for conductive substrates. For insulating substrates the model can account for the experimental observations if the secondary electron coefficient is modified, justified on the basis of the poly-energetic nature of the implanting ions. If a conductive film is applied to the insulator surface the problem of charge accumulation can be avoided without compromising the effectiveness of PIII. The requirement for the film is that it be conductive, yet transparent to the incident ions. Experimental results are presented which confirm the effectiveness of the method. Theoretical estimates of the surface potential show that a film of the order of 5nm thickness can effectively circumvent the charge accumulation problem. Efforts to produce and characterise such a film form the final two chapters of this thesis. The optimal thickness is determined to be near the percolation threshold, where a marked increase in conductivity occurs. Spectroscopic ellipsometry is shown to be an excellent method to determine the film thickness and percolation threshold non-invasively. Throughout this work cathodic vacuum arcs are used to deposit thin films and as a source of metal plasmas. The design and construction of a pulsed cathodic vacuum arc forms a significant part of this thesis. Investigations of the cathode spots and power supply requirements are presented.
APA, Harvard, Vancouver, ISO, and other styles
32

Oates, T. W. H. "Metal plasma immersion ion implantation and deposition using polymer substrates." University of Sydney. Physics, 2003. http://hdl.handle.net/2123/571.

Full text
Abstract:
This thesis investigates the application of plasma immersion ion implantation (PIII) to polymers. PIII requires that a high negative potential be applied to the surface of the material while it is immersed in a plasma. This presents a problem for insulating materials such as polymers, since the implanting ions carry charge to the surface, resulting in a charge accumulation that effectively neutralises the applied potential. This causes the plasma sheath at the surface to collapse a short time after the potential is applied. Measurements of the sheath dynamics, including the collapsing sheath, are performed using an electric probe. The results are compared to theoretical models of the plasma sheath based on the Child-Langmuir law for high voltage sheaths. The theoretical model predicts well the sheath dynamics for conductive substrates. For insulating substrates the model can account for the experimental observations if the secondary electron coefficient is modified, justified on the basis of the poly-energetic nature of the implanting ions. If a conductive film is applied to the insulator surface the problem of charge accumulation can be avoided without compromising the effectiveness of PIII. The requirement for the film is that it be conductive, yet transparent to the incident ions. Experimental results are presented which confirm the effectiveness of the method. Theoretical estimates of the surface potential show that a film of the order of 5nm thickness can effectively circumvent the charge accumulation problem. Efforts to produce and characterise such a film form the final two chapters of this thesis. The optimal thickness is determined to be near the percolation threshold, where a marked increase in conductivity occurs. Spectroscopic ellipsometry is shown to be an excellent method to determine the film thickness and percolation threshold non-invasively. Throughout this work cathodic vacuum arcs are used to deposit thin films and as a source of metal plasmas. The design and construction of a pulsed cathodic vacuum arc forms a significant part of this thesis. Investigations of the cathode spots and power supply requirements are presented.
APA, Harvard, Vancouver, ISO, and other styles
33

Arkhangelskiy, Artem. "Plasma-Assisted Deposition of Natural Polymers for Flexible Biosensor Applications." Doctoral thesis, Università degli studi di Trento, 2022. https://hdl.handle.net/11572/362102.

Full text
Abstract:
Flexible biosensors have gained increased attention in the scientific and medical community in the last decades. They provide an easy and fast way of monitoring the physiological condition of the human body, collecting and analyzing different types of data according to their location. Flexible biosensors for health monitoring can be distinguished into 2 categories: implantable biosensors and wearable devices. Temperature, contraction, elongation, pressure, and motion together with a wide range of physiological metabolites, including lactate, cortisol, and other tiny ions can be measured from sweat or tears using biosensors attached to the skin. On the other side, for the detection of (bio)chemical analytes within the body, such as metabolites, proteins, and biomarkers, the implantable biosensor should be in contact with the biological environment, such as the surface of organs, the endothelial walls of veins, the brain connections together with the internal physiological fluids (blood, saliva, or cerebrospinal fluid). Flexibility and stretchability are the key characteristics of flexible biosensors, which enable adaptability to the physical dynamics and non-rigid environment of the human body and enhance the interaction between analytes and sensing elements. Moreover, specific applications require implantable or wearable biosensors to provide a high level of biocompatibility and also biodegradability together with the essential requirements of biosensors, such as accuracy, selectivity, sensitivity, repeatability, and stability. Biocompatibility is essential for both types of flexible biosensors to prevent any kinds of inflammation or adverse reaction of the device, while biodegradability is necessary for implantable biosensors to avoid additional surgical intervention to remove the device from the body. To fulfill these requirements (flexibility, biodegradability, and biocompatibility), a diverse set of biocompatible and biodegradable polymers from synthetic and natural origins have been proposed for flexible biosensor production. Despite the advantages of synthetic polymers in terms of processing, stability, and mechanical properties, natural polymers are preferable for many applications due to their enhanced bioactivity, biodegradability, and biocompatibility. Among natural polymers, chitosan and silk fibroin have been widely investigated for biosensor production due to their remarkable properties, such as nontoxicity, and immunological activity. Different production approaches, from a thin coating deposition to the production of relatively big solid constructs, have been applied to natural polymers in order to achieve the necessary structure complexity for the realization of the biosensor structure. Generally, for flexible biosensors biopolymers have been proceeded into a film/layer/coating configuration as flexible substrates, electroactive (sensing) parts, or insulating layer. Despite the progress in the fabrication processes, the continuous evolution of flexible biosensors from 2-3 layered structures into multilayers structures requires the development of novel deposition/production methods for natural polymers in order to provide a high level of adhesion between layers, stability, and patterning capabilities. One of the possibilities is to use atmospheric plasma technologies, especially atmospheric pressure plasma jet (torch) (APPJ), that have been investigated for different types of biomedical applications. The increased attention got plasma processes related to surface cleaning, modification, and film formation. The combination of etching and deposition might allow the formation of the desired sensor structure. Moreover, cold plasma provides mild and technological processes at room temperature, with low changes in material properties. The core of the thesis is to develop novel deposition methods for the production of flexible biosensors. A special focus is put on the plasma- assisted deposition of silk fibroin and chitosan, representing 2 different natural polymers structures, proteins, and polysaccharides respectively, for a wide range of applications: bioactive coatings with enhanced adhesion and stability with no need for pre or post-treatment steps; layered biofilms with the ability to control and guide cell behavior; PEDOT:PSS electroactive coatings for the realization of biosensor layered structures.
APA, Harvard, Vancouver, ISO, and other styles
34

Vijayarajah, William Curran. "Experimental and theoretical aspects of the chemical vapour deposition of diamond." Thesis, Heriot-Watt University, 1998. http://hdl.handle.net/10399/642.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Shustin, E. G., N. V. Isaev, I. L. Klykov, and V. V. Peskov. "Plasma Processing Reactor on a Base of Beam Plasma Discharge for Producing and Processing Nanomaterials." Thesis, Sumy State University, 2012. http://essuir.sumdu.edu.ua/handle/123456789/35407.

Full text
Abstract:
The paper describes the design, modes and applications of novel kind of low pressure plasma processing reactor based on beam plasma discharge as the plasma source. This reactor ensures flawless treatment of material surface as well as deposition of specific coatings with strictly defined energy of ions acting upon a treated surface. Applications of the reactor are represented such as defect-free etching heterostructures based on GaAs and producing structurally perfect samples of graphene. When you are citing the document, use the following link http://essuir.sumdu.edu.ua/handle/123456789/35407
APA, Harvard, Vancouver, ISO, and other styles
36

QI, YU. "THE APPLICATION OF PULSE MODULATED PLASMA TO THE PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION OF DIELECTRIC MATERIALS." University of Cincinnati / OhioLINK, 2005. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1115603610.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Astell-Burt, P. J. "Studies on etching and polymer deposition in halocarbon plasmas." Thesis, University of Oxford, 1987. http://ora.ox.ac.uk/objects/uuid:d8fd1069-a66b-4372-8ba0-b9ca5367445c.

Full text
Abstract:
Plasma etching, the selective removal of materials by reaction with chemically active species formed in a glow-discharge, is widely used by the electronics industry because of the advantages over 'wet' processes. The full potential has yet to be realised because chemical processes occuring in the plasma and at the plasma/substrate interface are incompletely understood. In this work attention was focussed on the accumulation of polymers on surfaces during plasma etching in fluorocarbon gases. An apparatus was designed and constructed to explore the conditions which give rise to these deposits by: i) The detection of the excited species such as CF and CF2 (by optical emission spectroscopy); and ii) The rate of accumulation or removal of deposits (by means of a quartz crystal microbalance). The gases CF4, C2F6, C3F8 and CHF3 were used at pressures between 200-600mT, together with mixtures with H2 and a few runs with other gases to vary the partial pressures of etching and polymerizing species. Both substrate effect of, viz silicon and thermally oxidised silicon (SiO2), and electrode materials effects have been examined. Polymer production from C3F8 has been found to be more sensitive to electrode composition than that from CHF3, but the material formed is overall less thermally stable. On the other hand, polymers produced from C3F8 accumulate at similar rates on Si and SiO2, whereas those from CHF3 show a much greater liklihood of building up on Si than SiO2 . XPS and infra-red spectroscopy have been used to demonstrate that polymers arising from these two gases exhibit marked structural differences, which can be minimised by mixing H2 with C3F8. These effects can be correlated with the decomposition products expected in the plasma.
APA, Harvard, Vancouver, ISO, and other styles
38

Chung, Yong-Sun. "In-situ deposition of YBa₂Cu₃O₇₋x superconducting films by aerosol decomposition in a plasma enhanced chemical vapor deposition reactor." Diss., Georgia Institute of Technology, 1992. http://hdl.handle.net/1853/20024.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Agraharam, Sairam. "Plasma assisted deposition of low dielectric constant fluorocarbon materials for microelectronic applications." Diss., Georgia Institute of Technology, 2000. http://hdl.handle.net/1853/11896.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Kandah, Munther. "Particles emission control at graphite cathode in arc ion plating deposition." Thesis, McGill University, 1997. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=35434.

Full text
Abstract:
In this work, the dependence of the vacuum arc spot velocity on physical and electrical properties of different graphite cathode materials is investigated in the presence of a variable magnetic field. A pulsed arc system is used to perform preliminary experiments on the arc mobility for the different types of graphite for the selection of proper material morphology and the design of a continuous vacuum arc system. The characteristics of arc mobility, erosion rate, and carbon ion flux emitted from the continuous carbon source are then evaluated in view of particle-free diamond-like protective coatings. Results show that the arc spot velocity on graphite cathodes is larger on cathodes having larger grain size, lower electrical resistivity and higher apparent density. The spot velocity is also lower for cathodes having larger pore sizes and total porosity. The arc spot velocity is also found to be increased by increasing the magnetic field intensity over the surface of any graphite type. Reduced residence time of the spot on a given site of the cathode resulting from arc velocity increase should lead to a reduction in the heat load input in the cathode spot. This correlates with results on the number of emitted particles, the film thickness and roughness, and the erosion rate that are found to decrease, while the ion flux emission is increased. Diamond-like carbon (DLC) films free of particles are produced in a continuous arc ion plating (AIP) system. The ion energy in the continuous AIP system is found to vary with the graphite surface properties and the intensity of a plasma confining magnetic field in front of the cathode. The ion energies measured vary between 39.8 eV to 62.6 eV.
APA, Harvard, Vancouver, ISO, and other styles
41

Spillmann, Adrian. "Flowability modification of fine powders by plasma enhanced chemical vapor deposition /." Zürich : ETH, 2008. http://e-collection.ethbib.ethz.ch/show?type=diss&nr=17927.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Ren, Zhizhang John. "Diamond deposition with an energy efficient hot filament DC plasma method." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1999. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape11/PQDD_0003/MQ42253.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Hyde, Robert H. "Hollow-electrode pulsed plasma deposition of titanium and carbon thin films." [Tampa, Fla] : University of South Florida, 2006. http://purl.fcla.edu/usf/dc/et/SFE0001856.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Donker, Menno N. van den. "Plasma deposition of microcrystalline silicon solar cells looking beyond the glass /." Jülich : Forschungszentrum, Zentralbibliothek, 2006. http://deposit.d-nb.de/cgi-bin/dokserv?idn=987947575.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Jardine, Suzanne. "Thin film silicon on textiles by microwave plasma chemical vapour deposition." Thesis, Heriot-Watt University, 2007. http://hdl.handle.net/10399/2079.

Full text
Abstract:
An investigation into the fabrication of thin film silicon solar cells constructed onto polyester textile substrates is presented. The absence of a photovoltaic effect was found to be due to oxygen contamination in the silicon layers of the cell stack. This was concluded from FTIR absorption lines, as the Si-H2 peak had been blue-shifted from its original location, through the inductive effect of oxygen atoms being incorporated into the molecule. From the current-voltage analysis of the cells, the oxygen contamination was reconfirmed, as an electric field ample enough to create a depletion region across the i-layer to result in a PV effect, could not be established. Through the use of fibre melding techniques, amorphous/nanocrystalline silicon semiconductor junctions were successfully deposited on thermally bonded nonwoven spunlace. (hydroentanglement) PET. This new technique reduoed the density of electrical breaks within the textile, thus rectifying junctions possessing characteristics analogous to those deposited on solid glass sheets \vere produced.
APA, Harvard, Vancouver, ISO, and other styles
46

Chuang, A. T. H. "Microwave plasma-enhanced chemical vapour deposition of carbon nanotubes and nanostructures." Thesis, University of Cambridge, 2008. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.597683.

Full text
Abstract:
Microwave plasma-enhanced chemical vapour deposition (PECVD) as a scalable and low temperature synthesis technique for carbon nanostructures has been investigated in this thesis. A PECVD reactor based on ASTeX-type microwave reactors was implemented to facilitate both contact and remote plasma operations. By creating a remote plasma environment and using sandwich-like catalytic structures (Al2O3/Fe/Al2O3), densely packed and vertically aligned single-walled carbon nanotubes (SWNTs) can be synthesized consistently for temperatures between 600-650°C. Carbon species ultimately responsible for SWNT synthesis are speculated to be the more stable and long-chained species from plasma activation. Wet chemistry techniques such as cobalt colloids and iron solution are alternatives to conventional physical vapour deposition methods for catalyst preparation. Silicon micrograss and carbon fibre matrices serve as limiting cases for extreme topology for three-dimensional catalyst coating using the wet chemistry techniques. Hierarchical control of the physical and chemical texture on wetting behaviour is demonstrated by selective carbon nanotubes growth based on microscale and nanoscale surface textures. Direct synthesis of SWNTs on carbon fibres is achieved using iron solution catalyst in the remote plasma environment. Carbon nanowalls are synthesized as freestanding three-dimensional aggregates. The differentiating morphology from the surface-bound material suggests a different growth mechanism, and similarities to the formation of carbon nanohorns. The results establish a scalable production method and possible applications based on the properties such as the stable field emission and high surface area.
APA, Harvard, Vancouver, ISO, and other styles
47

Snodgrass, Thomas G. "The plasma and deposition properties of ionized physical vapor deposition." 1999. http://www.library.wisc.edu/databases/connect/dissertations.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Chang, Ya-Lan, and 張雅嵐. "Parametric Study of Microcrystalline Silicon Thin Films Deposition by Plasma Enhanced Chemical Vapor Deposition Using Plasma Optical Emission Spectroscopy." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/20098871799215191369.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Suda, Yoshiyuki. "Plasma-Assisted Pulsed Laser Deposition of Carbon Nanomaterials." Doctoral thesis, 2006. http://hdl.handle.net/2115/32698.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Li, Xianglin. "High-rate diamond deposition by microwave plasma CVD." 2008. http://www.lib.ncsu.edu/theses/available/etd-04222008-135738/unrestricted/etd.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography