Dissertations / Theses on the topic 'Plasma Circuits'

To see the other types of publications on this topic, follow the link: Plasma Circuits.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Plasma Circuits.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Almustafa, Mohamad. "Modélisation des micro-plasmas, conception des circuits micro-ondes, Coupleur Directionnel Hybride pour Mesures et des applications en Télécommunication." Phd thesis, Toulouse, INPT, 2013. http://oatao.univ-toulouse.fr/14170/1/almustafa.pdf.

Full text
Abstract:
L'intégration des nouveaux éléments basés sur la physique des plasmas dans le domaine des circuits et des systèmes micro-ondes est l'objectif de ce travail. En profitant des caractéristiques électromagnétiques des plasmas et en jouant sur leur architecture, on développe des micro-commutateurs micro-ondes et d'autres circuits radio et hyperfréquences en technologies microrubans ou en guide d'onde… La simulation de la propagation des ondes électromagnétiques dans un plasma et les études de l'interaction entre un plasma et les ondes électromagnétiques nécessite la connaissance des paramètres fondamentaux du plasma comme la permittivité. C'est pour cela qu'on étudie aussi les mesures plasmas par différents techniques comme la transmission/réflexion des ondes électromagnétiques, la perturbation des cavités résonnantes, ... Un schéma électrique équivalent modélisant un micro-commutateur hyperfréquence en plasma, est obtenu grâce aux mesures des courants de décharge électrique, à la rétro-simulation et aux techniques de modélisation numérique. Un coupleur directif hybride compact est utilisé pour les mesures plasmas en assurant la protection du matériel et de l'équipement de mesure des signaux d'un plasma.
APA, Harvard, Vancouver, ISO, and other styles
2

Önel, Hakan. "Electron acceleration in a flare plasma via coronal circuits." Phd thesis, Universität Potsdam, 2008. http://opus.kobv.de/ubp/volltexte/2009/2903/.

Full text
Abstract:
The Sun is a star, which due to its proximity has a tremendous influence on Earth. Since its very first days mankind tried to "understand the Sun", and especially in the 20th century science has uncovered many of the Sun's secrets by using high resolution observations and describing the Sun by means of models. As an active star the Sun's activity, as expressed in its magnetic cycle, is closely related to the sunspot numbers. Flares play a special role, because they release large energies on very short time scales. They are correlated with enhanced electromagnetic emissions all over the spectrum. Furthermore, flares are sources of energetic particles. Hard X-ray observations (e.g., by NASA's RHESSI spacecraft) reveal that a large fraction of the energy released during a flare is transferred into the kinetic energy of electrons. However the mechanism that accelerates a large number of electrons to high energies (beyond 20 keV) within fractions of a second is not understood yet. The thesis at hand presents a model for the generation of energetic electrons during flares that explains the electron acceleration based on real parameters obtained by real ground and space based observations. According to this model photospheric plasma flows build up electric potentials in the active regions in the photosphere. Usually these electric potentials are associated with electric currents closed within the photosphere. However as a result of magnetic reconnection, a magnetic connection between the regions of different magnetic polarity on the photosphere can establish through the corona. Due to the significantly higher electric conductivity in the corona, the photospheric electric power supply can be closed via the corona. Subsequently a high electric current is formed, which leads to the generation of hard X-ray radiation in the dense chromosphere. The previously described idea is modelled and investigated by means of electric circuits. For this the microscopic plasma parameters, the magnetic field geometry and hard X-ray observations are used to obtain parameters for modelling macroscopic electric components, such as electric resistors, which are connected with each other. This model demonstrates that such a coronal electric current is correlated with large scale electric fields, which can accelerate the electrons quickly up to relativistic energies. The results of these calculations are encouraging. The electron fluxes predicted by the model are in agreement with the electron fluxes deduced from the measured photon fluxes. Additionally the model developed in this thesis proposes a new way to understand the observed double footpoint hard X-ray sources.
Die Sonne ist ein Stern, der aufgrund seiner räumlichen Nähe einen großen Einfluss auf die Erde hat. Seit jeher hat die Menschheit versucht die "Sonne zu verstehen" und besonders im 20. Jahrhundert gelang es der Wissenschaft viele der offenen Fragen mittels Beobachtungen zu beantworten und mit Modellen zu beschreiben. Die Sonne ist ein aktiver Stern, dessen Aktivität sich in seinem magnetischen Zyklus ausdrückt, welcher in enger Verbindung zu den Sonnenfleckenzahlen steht. Flares spielen dabei eine besondere Rolle, da sie hohe Energien auf kurzen Zeitskalen freisetzen. Sie werden begleitet von erhöhter Strahlungsemission über das gesamte Spektrum hinweg und setzen darüber hinaus auch energetische Teilchen frei. Beobachtungen von harter Röntgenstrahlung (z.B. mit der RHESSI Raumsonde der NASA) zeigen, dass ein großer Teil der freigesetzten Energie in die kinetische Energie von Elektronen transferiert wird. Allerdings ist nach wie vor nicht verstanden, wie die Beschleunigung der vielen Elektronen auf hohe Energien (jenseits von 20 keV) in Bruchteilen einer Sekunde erfolgt. Die vorliegende Arbeit präsentiert ein Model für die Erzeugung von energetischen Elektronen während solarer Flares, das auf mit realen Beobachtungen gewonnenen Parametern basiert. Danach bauen photosphärische Plasmaströmungen elektrische Spannungen in den aktiven Regionen der Photosphäre auf. Für gewöhnlich sind diese Potentiale mit elektrischen Strömen verbunden, die innerhalb der Photosphäre geschlossen sind. Allerdings kann infolge von magnetischer Rekonnektion eine magnetische Verbindung in der Korona aufgebaut werden, die die Regionen von magnetisch unterschiedlicher Polarität miteinander verbindet. Wegen der deutlich höheren koronalen elektrischen Leitfähigkeit, kann darauf die photosphärische Spannungsquelle über die Korona geschlossen werden. Das auf diese Weise generierte elektrische Feld führt nachfolgend zur Erzeugung eines hohen elektrischen Stromes, der in der dichten Chromosphäre harte Röntgenstrahlung generiert. Die zuvor erläuterte Idee wird mit elektrischen Schaltkreisen modelliert und untersucht. Dafür werden die mikroskopischen Plasmaparameter, die Geometrie des Magnetfeldes und Beobachtungen der harten Röntgenstrahlung verwendet, um makroskopische elektronische Komponenten, wie z.B. elektrische Widerstände zu modellieren und miteinander zu verbinden. Es wird gezeigt, dass der auftretende koronale Strom mit hohen elektrischen Feldern verbunden ist, welche Elektronen schnell auf hohe relativistische Energien beschleunigen können. Die Ergebnisse dieser Berechnungen sind ermutigend. Die vorhergesagten Elektronenflüsse stehen im Einklang mit aus gemessenen Photonenflüssen gewonnenen Elektronenflüssen. Zudem liefert das Model einen neuen Ansatz für das Verständnis der harten Röntgendoppelquellen in den Fußpunkten.
APA, Harvard, Vancouver, ISO, and other styles
3

Dainese, Matteo. "Plasma assisted technology for Si-based photonic integrated circuits." Doctoral thesis, Stockholm, 2005. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-148.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Zushi, Takahiro. "Study on Miniaturization of Plasma Wave Measurement Systems." Kyoto University, 2019. http://hdl.handle.net/2433/242507.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Abrokwah, Kwaku O. "Characterization and modeling of plasma etch pattern dependencies in integrated circuits." Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/37054.

Full text
Abstract:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2006.
Leaf 108 blank.
Includes bibliographical references (leaves 106-107).
A quantitative model capturing pattern dependent effects in plasma etching of integrated circuits (ICs) is presented. Plasma etching is a key process for pattern formation in IC manufacturing. Unfortunately, pattern dependent non-uniformities arise in plasma etching due to microloading and RIE lag. This thesis contributes a semi-empirical methodology for capturing and modeling microloading, RIE lag, and related pattern dependent effects. We apply this methodology to the study of interconnect trench etching, and show that an integrated model is able to predict both pattern density and feature size dependent non-uniformities in trench depth. Previous studies of variation in plasma etching have characterized microloading (due to pattern density), and RIE lag (aspect ratio dependent etching or ARDE) as distinct causes of etch non-uniformity for individual features. In contrast to these previous works, we present here a characterization and computational methodology for predicting IC etch variation on a chip scale that integrates both layout pattern density and feature scale or ARDE dependencies. The proposed integrated model performs well in predicting etch variation as compared to a pattern density only or feature scale only model.
by Kwaku O. Abrokwah.
M.Eng.
APA, Harvard, Vancouver, ISO, and other styles
6

Rossi, Alberto. "Développement d'outils d'optimisation dédiés aux circuits magnétiques des propulseurs à effet Hall." Phd thesis, Toulouse, INPT, 2017. http://oatao.univ-toulouse.fr/19234/1/ROSSI_Alberto_public.pdf.

Full text
Abstract:
Aujourd’hui les propulseurs à effet Hall ont gagné une position dominante dans le marché des propulseurs électriques spatiales. Ce grand succès est du surtout à leur simplicité de réalisation (par rapport aux autres typologies des propulseurs) et à leur efficacité (par rapport aux propulseurs chimiques traditionnels). Les propulseurs à effet Hall sont aujourd’hui utilisés sur un très grand nombre des plateformes satellitaires (surtout pour les télécommunications). Les composants principales d’un propulseur à effet Hall sont : le circuit magnétique, le canal plasma, l’anode (placé au fond du canal plasma avec injecteur du gaz) et la cathode (placée à l’extérieur du canal plasma). Le fonctionnement d’un propulseur à effet Hall est basé sur la génération d’un champ électrique axial (généré entre l’anode et la cathode) et d’un champ magnétique radial (perpendiculaires entre eux). Le champ magnétique a le rôle de former une zone de très forte concentration électronique (il emprisonne les électrons générés par la cathode) pour permettre aux atomes neutres du gaz de se ioniser. Le champ électrique a le rôle d’accélérer les ions vers l’extérieur du canal. Cette accélération génère la poussée. Le champ magnétique joue un rôle crucial dans le fonctionnement d’un propulseur à effet Hall. La forme du champ magnétique impacte sur les performances propulsifs et sur l’érosion du propulseurs. La topologie magnétique classique des propulseurs à effet Hall n’a subi presque pas des changements depuis les années de développement de cette technologie parce qu’elle garanti des performances propulsifs assez satisfaisantes. Aujourd’hui, avec les nouvelles exigences propulsifs, il y a une très forte nécessité des moteurs avec une durée de vie plus longue. Des nouvelles topologie magnétique innovante sont proposés aujourd’hui comme par exemple le "Magnetique-Shielding" ou le "Wall-Less" . Ces topologies magnétique bouleverse complètement la topologie magnétique classique (en gardant des performances propulsif satisfaisantes) pour protéger le moteur de l’érosion du plasma. Dans cette thèse une autre approche a été adopté. Nous avons pensé d’utiliser une topologie magnétique classique et de déplacer les parties du circuit magnétique attaquées par l’érosion vers des zones moins dangereuses. Nous avons agit sur la forme du circuit magnétique et pas sur la forme de la topologie magnétique pour garder les même performances propulsifs de la topologie magnétique classique. L’objectif de la thèse était de créer des outils pour le design et l’optimisation des circuits magnétiques des propulseurs à effet Hall. Un algorithme nommé ATOP a été créé dans l’équipe de recherche GREM3 du laboratoire LAPLACE de Toulouse. Cette thèse a contribué à la création de la section d’optimisation paramétrique (ATOPPO) et d’une section d’optimisation topologique basée sur les algorithmes génétiques (ATOPTOga) de l’algorithme ATOP. Les algorithme conçues dans cette thèse permettent d’optimiser des propulseurs existants (en terme de forme, masse et courant) ou de concevoir des nouveaux propulseurs (nécessité de concevoir un nouveau propulseur capable de reproduire une topologie magnétique précise). Les algorithmes développées ont démontrés leur efficacité à travers leur application sur un propulseur réel, le PPS-1350-E® de SAFRAN. Ce propulseur a été optimisé en terme de masse et de courant bobines (minimisation de la masse et du courant bobines). Les algorithmes développés ont démontré donc leur efficacité comme instrument d’optimisation et de design. Ces deux algorithmes ont été utilisé pour le design d’un circuit magnétique innovant qui a comme objectif de réduire l’érosion du moteur. Les résultats de ce processus de design ont amené à la réalisation et à la construction d’un prototype qui possède la même topologie magnétique du propulseur PPS- 1350-E® commercialisé par SAFRAN mais avec une circuit magnétique de forme différente.
APA, Harvard, Vancouver, ISO, and other styles
7

Simon, Antoine. "Étude de dispositifs de limitation de puissance microonde en technologie circuit imprimé exploitant des plasmas de décharge." Thesis, Toulouse, ISAE, 2018. http://www.theses.fr/2018ESAE0037/document.

Full text
Abstract:
Dans ce travail de thèse, nous souhaitons exploiter les interactions non-linéaires entre le signal micro-onde de forte puissance à émettre et des micro-décharges plasmas intégrés dans les circuits ou antennes micro-ondes de l’émetteur (e.g., émetteur de télécommunications, RADAR, ...) pour obtenir sa reconfigurabilité. Une telle problématique adresse un ensemble de compétences à l’interface entre la physique des plasmas et les micro-ondes. Elle concerne aussi bien des problématiques amont que des considérations d’ingénierie. Le travail à réaliser au cours de ce projet doit permettre de progresser en deux tâches de recherche qui structureront les activités de la thèse. En premier lieu, la caractérisation des micro-décharges plasmas sera effectuée puis il sera possible d'identifier et de développer des dispositifs micro-ondes reconfigurables
In this project, the non-linear interactions between the high-power microwave signal and micro-discharges plasmas integrated in the microwave circuits or antennas of the transmitter (for example,Telecommunication transmitter, RADAR, ...) will be exploited to obtain its reconfigurability. Such a problem addresses a set of competences at the interface between plasma physics and microwaves. It concerns both upstream and engineering considerations. The work to be carried out during this project should make it possible to progress in two research tasks that will structure the activities of the thesis. First, the characterization of microdischarge plasmas will be perform then it will possible to identify and develop reconfigurable microwave devices
APA, Harvard, Vancouver, ISO, and other styles
8

Thomas, David John. "Mass spectroscopy of the etching of Si and SiO←2 in CF←4/O←2 plasmas and X-ray photoelectron spectroscopy of plasma deposited borophosphosilicate glasses." Thesis, University of Bristol, 1989. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.294220.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Laparra, Olivier. "Mise au point et optimisation d'un équipement industriel de dépôts chimiques en phase vapeur activés par plasma (PACVD)." Montpellier 2, 1987. http://www.theses.fr/1987MON20018.

Full text
Abstract:
La technique de depots chimiques en phase activites par plasma a connu au cours de ces dernieres annees un developpement important. Notre travail a consiste a mettre au point un equipement industriel de depots assistes par plasma et a en optimiser les performances. Au cours de cette etude ont ete realises des depots de films dielectriques (oxydes, nitrures. . . ) utilises lors de l'elaboration des circuits integres. Une analyse systematique des differents parametres de depots (temperature, pression, melanges gazeux, puissance de plasma, positionnement des plaquettes, etc. . . ) intervenant lors des processus technologiques est presentee dans ce memoire
APA, Harvard, Vancouver, ISO, and other styles
10

Mukherjee, Tamal. "Investigation of Post-Plasma Etch Fluorocarbon Residue Characterization, Removal and Plasma-Induced Low-K Damage for Advanced Interconnect Applications." Thesis, University of North Texas, 2016. https://digital.library.unt.edu/ark:/67531/metadc849649/.

Full text
Abstract:
Modern three-dimensional integrated circuit design is rapidly evolving to more complex architecture. With continuous downscaling of devices, there is a pressing need for metrology tool development for rapid but efficient process and material characterization. In this dissertation work, application of a novel multiple internal reflection infrared spectroscopy metrology is discussed in various semiconductor fabrication process development. Firstly, chemical bonding structure of thin fluorocarbon polymer film deposited on patterned nanostructures was elucidated. Different functional groups were identified by specific derivatization reactions and model bonding configuration was proposed for the first time. In a continued effort, wet removal of these fluorocarbon polymer was investigated in presence of UV light. Mechanistic hypothesis for UV-assisted enhanced polymer cleaning efficiency was put forward supported by detailed theoretical consideration and experimental evidence. In another endeavor, plasma-induced damage to porous low-dielectric constant interlayer dielectric material was studied. Both qualitative and quantitative analyses of dielectric degradation in terms of increased silanol content and carbon depletion provided directions towards less aggressive plasma etch and strip process development. Infrared spectroscopy metrology was also utilized in surface functionalization evaluation of very thin organic films deposited by wet and dry chemistries. Palladium binding by surface amine groups was examined in plasma-polymerized amorphous hydrocarbon films and in self-assembled aminosilane thin films. Comparison of amine concentration under different deposition conditions guided effective process optimization. A time- and cost-effective method such as current FTIR metrology that provides in-depth chemical information about thin films, surfaces, interfaces and bulk layers can be increasingly valuable as critical dimensions continue to scale down and subtle process variances begin to have a significant impact on device performance.
APA, Harvard, Vancouver, ISO, and other styles
11

Ahner, Nicole. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits." Doctoral thesis, Universitätsbibliothek Chemnitz, 2013. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-102773.

Full text
Abstract:
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given
In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden
APA, Harvard, Vancouver, ISO, and other styles
12

Han, Seung Soo. "Modeling and optimization of plasma-enhanced chemical vapor deposition using neural networks and genetic algorithms." Diss., Georgia Institute of Technology, 1996. http://hdl.handle.net/1853/14904.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Hazebrouck, Sabine. "Planarisation de structures multicouches en technologie bipolaire de circuits intégrés à haute densité d'intégration." Lille 1, 1991. http://www.theses.fr/1991LIL10056.

Full text
Abstract:
L'introduction d'une étape de planarisation dans les technologies bipolaires actuelles augmente la fiabilité des circuits intégrés, pouvant comporter jusqu'à trois niveaux de métallisation. Elle permettra également leur future densification. La technique de planarisation «Resist Etch Back» ou «REB», développée dans ce rapport de thèse, comprend deux sujets d'étude: 1) La planarisation d'une topographie par de la résine. 2) La gravure par plasma de deux matériaux à la même vitesse : l'Etch-Back. Par l'utilisation de plans d'expérience, nous modélisons l'influence des principaux paramètres de ces études sur la qualité de la planarisation. L'étape de planarisation développée est ensuite appliquée au procédé Subilo (subnanoseconde isolation par oxyde localisé) ; un procédé industriel de chez Philips Composants à Caen qui permet la réalisation de circuits intégrés bipolaires à haute densité d'intégration. La qualité de la planarisation est évaluée sur plusieurs de ces circuits denses par des analyses topographiques et électriques. La reproductibilité du procédé de planarisation REB est ensuite étudiée par un suivi de paramètres de contrôle, dont les définitions sont indispensables à une industrialisation. Ce procédé de planarisation est à présent appliqué en production pour une grande quantité de circuits. Il représente une progression dans la qualité de fabrication des circuits intégrés bipolaires
APA, Harvard, Vancouver, ISO, and other styles
14

Avertin, Sébastien. "Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés." Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT029/document.

Full text
Abstract:
Les dictats de la course à la miniaturisation et à l'accroissement des performances suivit par les industriels de la microélectronique, se heurte aujourd'hui aux limites physiques, technologiques et économiques. Une alternative innovante pour dépasser ces inconvénients, réside en l'intégration tridimensionnelle de circuits intégrés. Cette technologie consiste à empiler verticalement différents niveaux de circuits aux fonctionnalités diverses. Elle ouvre la voie à des systèmes multifonctions ou hétérogènes, aux performances électriques bien meilleures que les circuits bidimensionnels existants. L'empilement de ces puces est réalisable par l'intermédiaire de vias traversant nommés « Though Silicon Via » (« TSV »), qui sont obtenus par la succession de différentes étapes technologiques, dont une d'entre elles consiste à réaliser par gravure plasma, des microcavités profondes à travers le silicium. Actuellement deux procédés de gravure plasma sont principalement utilisés pour la conception de « TSV », le procédé Bosch et le procédé cryogénique, avec dans les deux cas des avantages et des inconvénients différents. L'objet de cette thèse s'inscrit dans le développement d'un procédé de gravure plasma innovant et alternatif à ceux actuellement utilisés, afin de limiter leurs inconvénients (rugosité de flancs, manque de contrôle des profils, basse température…). Dans cette logique deux procédés de gravure profonde ont été envisagés, exploitant les chimies de gravure SF6/O2/HBr et SF6/O2/HBr/SiF4. L'ensemble de l'étude vise à une meilleure compréhension des mécanismes de gravure et de passivation des cavités à fort facteur de forme grâce en particulier à l'exploitation des techniques d'analyse de surface par XPS
The dictates of miniaturization and increased performance followed by microelectronics manufacturers faces currently physical, technological and economic limitations. An innovative alternative to these problems is the three-dimensional integration of integrated circuits. This technology involves the vertical stacking of different levels of functionality on the various circuits, and thus opens the way for multifunctional or heterogeneous systems, with electrical performance that are much better than those existing in the two-dimensional circuits. The stacking of these chips is achievable through crossing vias named TSV for "Through Silicon Via", which are obtained by the succession of different technological steps,. One of these steps is the realization by plasma etching of deep silicon microcavities. Currently two plasma etch processes are mainly used for the design of TSV or other silicon structures, the Bosch Process and the Cryogenic process, in both cases with different advantages and disadvantages. The purpose of this thesis is to develop an innovative and alternative plasma etching method comparing to those currently used, to minimize their disadvantages (sidewall roughness, lack of profiles control, low temperature ...). In this logic two deep etch processes have been considered, exploiting SF6/O2/HBr and SF6/O2/HBr/SiF4 etching chemistries. All the studies focuses at better understanding of the mechanisms of etching and passivation of high aspect ratio cavities, especially through exploitation of XPS surface analysis
APA, Harvard, Vancouver, ISO, and other styles
15

El, Bayda Hadi. "Étude du transfert d'énergie entre un arc de court-circuit et son environnement : application à l'Arc Tracking." Toulouse 3, 2012. http://thesesups.ups-tlse.fr/1810/.

Full text
Abstract:
L'arc tracking est un phénomène d'arc électrique se produisant lors d'un court-circuit, ayant tendance à se propager le long des câbles. Lié à la dégradation des gaines diélectriques, il peut entraîner la coupure de l'alimentation électrique et l'endommagement du milieu environnant, et est particulièrement redouté dans l'aviation. L'augmentation de la puissance électrique et les réductions de poids pour la prochaine génération d'aéronefs va nécessiter l'emploi de tensions plus élevées et le remplacement du cuivre par l'aluminium dans les câbles électriques. Des matériaux composites vont également être utilisés dans la structure. Ces changements peuvent conduire à l'apparition d'un nouveau type d'Arc Tracking, ce qui nécessite une meilleure connaissance de ce phénomène et de son impact. L'objectif de cette thèse est d'évaluer l'énergie transférée dans le cas d'un arc ayant lieu entre deux câbles adjacents dans un toron, en courant continu. Pour cela un dispositif a été développé, permettant de mettre sous tension des échantillons de câble pendant une durée déterminée sous un courant donné. Les mesures électriques sont corrélées à l'observation par imagerie rapide et à l'énergie rayonnée par l'arc mesurée par des fluxmètres. Pour évaluer la dégradation des câbles ceux-ci sont pesés avant et après les essais. A partir de ces mesures, de l'analyse thermodynamique et du calcul des coefficients d'émission nette d'un plasma d'air et de vapeurs métalliques, le bilan d'énergie a été réalisé. Les résultats obtenus montrent que pour les câbles à base du cuivre (DR) et d'aluminium (AD) la quantité d'énergie transférée aux électrodes est d'environ 40 % de l'énergie électrique totale. La quantité d'énergie rayonnée est de 25 à 28 % (jusqu'à 30 % pour les câbles AD) de la quantité d'énergie fournie à la colonne d'arc, la moitié l'étant dans le domaine VUV. Entre 24 et 34 % de l'énergie totale est fournie au plasma et sera perdue par conduction-convection. Dans le cas des câbles en aluminium, une énergie de combustion due à la formation d'oxydes s'ajoute à l'énergie électrique ; l'ablation des câbles est plus forte mais cela conduit paradoxalement à des durées d'arc nettement plus faibles que dans le cas des câbles en cuivre. Un second dispositif a été développé pour étudier le cas d'un arc entre l'extrémité d'un câble et une plaque de matériau composite. Une série d'essais a été réalisée dans le but de caractériser ce type de décharge au moyen de mesures électriques corrélées avec l'imagerie rapide. De plus l'échauffement de la plaque a été mesuré au moyen d'une camera infrarouge. Les résultats montrent que l'élévation de température, liée à l'énergie transférée aux électrodes, est plus rapide avec le câble à base de cuivre. L'élévation de température est trois fois plus importante lorsque la plaque est connectée à l'anode que dans le cas contraire
Arc tracking is an electric arc phenomenon occurring during a short circuit and tending to propagate along the wires. Associated to wire dielectric coating degradation it can lead to power breakdown and damages to surrounding materials and is particularly feared in aeronautics' domain. Electrical power increase and weight reduction for the next generation of aircrafts will lead to use higher voltage and replacing copper by aluminum in the wires. Composite materials will also be more widely used in aircraft structure. These modifications can cause the apparition of a new kind of arc tracking which demands better knowledge of this phenomenon and its consequences. The aim of this thesis is to evaluate the energy transferred in the case of an arc between two nearby cables in a bundle under direct current. A setup has then been developed allowing to power up wire samples for a given period of time under a specified current. Electrical measurements were correlated to high speed imaging and measurements of radiated energy performed with radiation heat flux sensors. In order to study wire degradation, samples are weighted before and after the tests. From these measurements, thermodynamic analysis and calculation of net emission coefficients of air-metal (Al and/or Cu) plasma, the energy balance has been achieved. The results obtained show that for copper cables (DR) and aluminum cables (AD), the amount of energy transferred to the electrodes is about 40 % of the input electric energy. The quantity of radiated power is between 25 and 28 % (up to 30 % for aluminum cable) of the arc column energy, the half being in the VUV range. Between 24 and 34 % of the total energy is supplied to the plasma and will be lost by conducto-convection. In the case of aluminum cables, energy of combustion due to the formation of aluminum oxides is added to the electrical energy; cable erosion is higher but leads paradoxically to arcing times significantly lower than in the case of copper cables. A second experimental setup has been developed to study the case of an arc occurring between an extremity of a cable and a composite plate. Series of tests were realized to characterize this type of discharge, by performing electrical measurements correlated with arc fast imaging. Furthermore, the heating of plate was measured using an infrared camera. Results show that the rise in temperature due to the energy transfer to the electrodes using copper was faster than when using aluminum cable
APA, Harvard, Vancouver, ISO, and other styles
16

Avertin, Sebastien. "Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00771420.

Full text
Abstract:
Les dictats de la course à la miniaturisation et à l'accroissement des performances suivit par les industriels de la microélectronique, se heurte aujourd'hui aux limites physiques, technologiques et économiques. Une alternative innovante pour dépasser ces inconvénients, réside en l'intégration tridimensionnelle de circuits intégrés. Cette technologie consiste à empiler verticalement différents niveaux de circuits aux fonctionnalités diverses. Elle ouvre la voie à des systèmes multifonctions ou hétérogènes, aux performances électriques bien meilleures que les circuits bidimensionnels existants. L'empilement de ces puces est réalisable par l'intermédiaire de vias traversant nommés " Though Silicon Via " (" TSV "), qui sont obtenus par la succession de différentes étapes technologiques, dont une d'entre elles consiste à réaliser par gravure plasma, des microcavités profondes à travers le silicium. Actuellement deux procédés de gravure plasma sont principalement utilisés pour la conception de " TSV ", le procédé Bosch et le procédé cryogénique, avec dans les deux cas des avantages et des inconvénients différents. L'objet de cette thèse s'inscrit dans le développement d'un procédé de gravure plasma innovant et alternatif à ceux actuellement utilisés, afin de limiter leurs inconvénients (rugosité de flancs, manque de contrôle des profils, basse température...). Dans cette logique deux procédés de gravure profonde ont été envisagés, exploitant les chimies de gravure SF6/O2/HBr et SF6/O2/HBr/SiF4. L'ensemble de l'étude vise à une meilleure compréhension des mécanismes de gravure et de passivation des cavités à fort facteur de forme grâce en particulier à l'exploitation des techniques d'analyse de surface par XPS.
APA, Harvard, Vancouver, ISO, and other styles
17

Servera, Marc. "Etude d'un système de masquage pour microlithographie sensible en UV profond et développable par plasma d'oxygène." Montpellier 2, 1990. http://www.theses.fr/1990MON20092.

Full text
Abstract:
Un nouveau systeme de masquage pour microlithographie, sensible en uv profonde, a ete etudie. Sa composition originale fait intervenir un polymere methacrylique modifiable par acidolyse photoamorcee en presence de tosylate de benzoine. Cette resine est developpable en negatif par voie humide, en positif par plasma d'oxygene apres mise en uvre d'un procede de silylation selective. L'evaluation des performances microlithographiques a demontre dans les deux cas la faisabilite d'une resolution submicronique
APA, Harvard, Vancouver, ISO, and other styles
18

Duluard, Corinne Ranson Pierre. "Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium." S. l. : S. n, 2009. ftp://ftp.univ-orleans.fr/theses/corinne.duluard_1712.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Perel, Thomas. "Protection des circuits électriques des avions plus composites et plus électriques : propriétés électriques des varistances élaborées par "Spark Plasma Sintering"." Toulouse 3, 2014. http://thesesups.ups-tlse.fr/2480/.

Full text
Abstract:
Depuis plusieurs années, les avionneurs s'intéressent aux matériaux composites pour la fabrication de leurs avions ainsi qu'à l'électrification de fonctions en zones déportées, liée au concept de l'avion " plus électrique ". Les critères de performances de ces mutations technologiques sont nombreux (gains de masse, volume, coût d'exploitation, d'efficacité. . . ) mais sont source de problématiques nouvelles notamment en cas d'agression foudre. La protection des équipements suite à un choc de foudre sur la structure de l'avion doit donc s'effectuer par un composant ou système possédant une caractéristique courant-tension fortement non-linéaire et capable d'absorber de fortes densités énergétiques. Les varistances à base d'oxyde de zinc réunissent des propriétés qui en font de bonnes candidates. La technique de Frittage Flash ou " Spark Plasma Sintering " s'avère particulièrement intéressante pour la réalisation de celles-ci. En effet, cette technique de frittage est connue pour accroître les cinétiques de densification des matériaux. Ainsi un meilleur contrôle de leur microstructure laisse envisager des performances électriques maximisées pour une compacité maximale. Les objectifs de ce travail sont d'étudier l'influence de cette méthode de frittage sur les propriétés électriques du matériau ainsi que de montrer les avantages et inconvénients par rapport à une méthode de frittage conventionnel. Pour ce faire, une modélisation électrothermique basée sur la variation de la hauteur de barrière est proposée. D'autre part, les différentes voies d'encapsulation testées permettront d'effectuer quelques préconisations quant aux choix de celles-ci. Enfin, la diminution de la taille de grains du composant entraînant inévitablement une baisse de sa densité d'énergie maximale admissible, nous montrerons les limites des caractéristiques électriques obtenues sur des échantillons frittés par Spark Plasma Sintering
Since several years, manufacturers are interested in composite materials for the fabrication of their aircrafts as well as the electrification on deported equipments related to the concept of "more electric" aircraft. The performance criteria of these technological changes are significant (weight gains, volume, operating cost, efficiency. . . ), but are sources of new problems especially in case of lightning strike. The protection of the equipments after a lightning strike on the aircraft structure must be performed by a system or a component having a highly non-linear current voltage characteristic and able to absorb high energy density. Thanks to their properties, Zinc oxide based varistors seems to be good candidates. Flash Sintering technique or "Spark Plasma Sintering" is a particularly interesting production technique. Indeed, this sintering technique is known to increase the kinetics of densification of materials. Thus, a better control of their microstructure suggests maximized electrical performances for a maximum compactness. The objectives of this work are to study the influence of the sintering method on the electrical properties of the material and to show the advantages and disadvantages compared to a conventional sintering method. For this, an electrothermal model based on the barrier height variation is proposed. On the other hand, different encapsulation ways are tested and some recommendations are proposed concerning theirs choice. Finally, as the decrease of the component grain inevitably leads to a decrease on the maximum permissible energy density, we show the limits of the electrical characteristics obtained on samples sintered by Spark Plasma Sintering
APA, Harvard, Vancouver, ISO, and other styles
20

Gendry, Michel. "Passivation de InP par sulfuration plasma HF pour la formation de l'isolant de grille d'un transistor MISFET." Grenoble 2 : ANRT, 1987. http://catalogue.bnf.fr/ark:/12148/cb376053592.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Mulfinger, G. Robert. "Investigation of induced charge damage on self-aligned metal-gate MOS devices /." Online version of thesis, 2006. http://hdl.handle.net/1850/2036.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Remiat, Bruno. "Couches minces diélectriques à faible et très faible permittivité destinées aux interconnexions des circuits intégrés : élaboration - caractérisation - intégration." Montpellier 2, 2003. http://www.theses.fr/2003MON20121.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Lenormand, Jérôme. "Mise au point et optimisation d'étapes de gravure par plasma dans la fabrication de procédés BiCMOS haute fréquence." Caen, 2001. http://www.theses.fr/2001CAEN2038.

Full text
Abstract:
Cette thèse est consacrée à l'étude de deux étapes de gravure par plasma intégrés à de étapes technologiques importantes dans la fabrication des circuits intégrés. La première concerne la réalisationde zones d'oxydes de silicium enterrées, qui permettent d'isoler électriquement les zones actives des composants. L'oxyde de silicium croît dans une tranchée gravée dans le silicium. Les zones actives sont alors protégées par une couche de nitrure de silicium, déposée sur une couche d'oxyde tampon. Le programme mis au point permet de graver sur un même équipement ces deux couches puis de réaliser la tranchée dans le silicium. Le nitrure et le silicium sont gravés dans un plasma de SF6, et une recette de gravure de l'oxyde de silicium dans un plasma de CF4 a été développée pour remplacer la gravure humide. Les caractéristiques électriques des composants fabriqués ont été détériorées lors de la mise en place de ce nouveau programme de gravure, et les condition de gravure du silicium ont dû être ajustées pour compenser la différence de profil observée. La seconde étude concerne la gravure du premier niveau d'interconnexions d'un procédé. Ce niveau métallique est composé d'une couche de WTi sur laquelle est déposée une couche d'AlCu. Sous ces couches métalliques, une fine couche d'oxyde protège les bandes de polysilicium. L'AlCu est d'abord gravé par plasma Cl2/BCl3/N2, puis le WTi est gravé par voie humide dans une solution de péroxyde d'hydrogène. La sélectivité est donc très importante. Le but de cette étude a été de mettre au point un programme permettant de graver la couche de WTi par plasma dans le réacteur T. C. P. Sur lequel la couche d'AlCu est gravée.
APA, Harvard, Vancouver, ISO, and other styles
24

Pires, Fabrice. "Etude de diélectriques à faible permittivité destinés à l'isolation des niveaux d'interconnexions de circuits intégrés de haute densité." Lyon, INSA, 1999. http://www.theses.fr/1999ISAL0072.

Full text
Abstract:
Ce mémoire présente l'étude de matériaux isolants à faible permittivité destinés à être utilisés dans les interconnexions des circuits intégrés. Trois matériaux ont été particulièrement évalués : a) l'oxyde de silicium fluoré (SiOF) déposé par PECVD et HDPCVD, b) le méthyl-silsesquioxane (MSQ) déposé par centrifugation de solution, c) l'hydrogènesilsesquioxane (HSQ) déposé aussi par centrifugation de solution. Dans le cas du SiOF, il a été démontré que la stabilité du matériau augmentait avec la densité et diminuait avec. Le taux en fluor. Ainsi, la stabilité du matériau ne peut être réalisée que pour une permittivité relative supérieure à 3,6, ce qui reste élevé. Dans le cas du MSQ la stabilité thermique a été vérifiée mais le matériau se dégrade dans des solutions basiques de retrait résine et dans des plasmas oxydants. De nouveaux procédés limitant la dégradation ont été étudiés mais l'amélioration reste encore insuffisante. Enfin dans le cas du HSQ, nous avons montré que les procédés de gravure et de nettoyage déjà mis au point pour le MSQ donnaient un résultat totalement satisfaisant et permettaient de conserver au HSQ sa faible permittivité (3,0). Parallèlement à ces études, une modélisation moléculaire a permis de préciser les mécanismes à l'origine è , 1' abaissement de la permittivité des silsesquioxanes. Enfin, l'aptitude du matériau à être intégré dans une structure d'interconnexions a été validée, et le transfert du procédé vers l'unité de production réalisé
This work presents a study of materials with low permittivity for the insulation of interconnections of integrated circuits. Three materials have been particularly evaluated: a) the fluorinated silicon oxide (SiOF) deposited by PECVD and HDPCVD, b) the methyl-silsesquioxane (MSQ) deposited by spincoating, and c) the hydrogensilsesquioxane (HSQ) also deposited by spin-coating. In the case of SiOF, we have demonstrated that the material stability increases with the density and decreases with the fluorine concentration. So the material stability can be obtained only for a relative permittivity above 3. 6, which is too high. In the case of MSQ, the thermal stability has been checked but the material is degraded by the basic solutions of stripping processes or by the oxidative plasmas. So new processes inducing less degradations have been developed but the improvements remain insufficient. Finally for HSQ, we have proved that etching and stripping processes previously optimized with the MSQ give good results, and allow to conserve the low permittivity of HSQ (3. 0). Moreover a molecutar simulation study has allowed to precise the mechanisms explaining the reduction of silsesquioxane permittivities. In conclusion, the ability of the material to be integrated in interconnection structures has been demonstrated, and the process transferred to the production unity
APA, Harvard, Vancouver, ISO, and other styles
25

Ait, Saada Aomar. "Caractérisation d'un plasma RF : Influence des paramètres du plasma sur les dépôts de passivation du phosphure d'indium (INP)." Nancy 1, 1987. http://www.theses.fr/1987NAN10037.

Full text
Abstract:
L'INP est un semiconducteur de la famille III-V ; il est l'un des plus étudiés à cause de l'intérêt qu'il présente pour les circuits optoélectroniques et de commutation à grande vitesse. Nous avons utilisé un plasma RF d'oxygène pour passiver la surface de l'INP. Notre but consiste à étudier les caractéristiques de l'oxyde réalisé dans différentes conditions d'oxydation et à relier ses paramètres à ceux du plasma, afin de trouver les conditions optimales d'oxydation donnant un oxyde renfermant le moins de défauts. Pour ceci, nous avons caractérisé le plasma d'oxygène par sonde électrostatique. Les paramètres de la décharge : densité, température, potentiels etc. . . Suivant le gaz et diverses conditions de pression, température, polarisation du substrat ont pu être déterminés. Les oxydes formés sont étudiés par caractérisation électrique I(V) et C(V), ce qui nous a permis de voir l'influence des paramètres du plasma, particulièrement les tensions de gaines, sur les propriétés de l'oxyde : épaisseur, capacité, résistivité, défauts de charges etc. . . Les études sont faites avec échantillon au potentiel flottant ou polarisé. L'analyse de la composition en éléments atomique de la surface de l'oxyde est faite par spectroscopie auger (AES). Ce qui nous a permis de faire ressortir l'impact des conditions d'oxydation sur la nature des éléments à la surface de l'oxyde
APA, Harvard, Vancouver, ISO, and other styles
26

Gourhant, Olivier. "Elaboration et caractérisation de matériaux à très faible constante diélectrique de type a-SiOCH élaborés par PECVD : application aux interconnexions des circuits intégrés." Grenoble 1, 2008. http://www.theses.fr/2008GRE10275.

Full text
Abstract:
L'amélioration des performances des circuits intégrés nécessite le développement de nouveaux matériaux comme, par exemple, les diélectriques à très faible permittivité, appelés Ultra Low-K (K<=2,5). Cette étude se focalise sur les matériaux a-SiOCH poreux déposés en couche mince par PECVD suivant une approche dite « porogène ». Cette approche consiste en le dépôt d'une matrice de type a-SiOCH contenant des inclusions organiques qui sont dégradées dans un second temps, grâce à l'utilisation d'un post-traitement, afin de créer la porosité. La première partie de cette étude montre que l'extension de l'approche porogène a permis d'élaborer des matériaux ayant des constantes diélectriques pouvant atteindre 2,25 en utilisant un procédé industriel avec, comme type de post-traitement, un recuit thermique assisté par rayonnement UV. Certains matériaux ont été intégrés dans des démonstrateurs. Puis, dans un second temps, l'impact du procédé d'élaboration sur la structure chimique du matériau a été analysé afin de mieux comprendre son comportement mécanique. Enfin, la mise en place d'une technique de caractérisation a permis la mesure des différentes contributions de la constante diélectrique (électronique, ionique et dipolaire). L'évolution de ces composantes en fonction des paramètres d'élaboration a ainsi pu être étudiée
The enhancement of integrated circuits performances needs the development of new materials, like ultra low permittivity dielectrics, called Ultra Low-K (K<=2,5). This study focus on porous SiOCH thin films elaborated by PECVD via a "porogen" approach. This approach consists in the deposition of a SiOCH matrix containing organics inclusions which are removed afterwards, via a post-treatment, to create porosity. The first part of this study shows that the porogen approach extension has led to materials having a dielectric constant of 2. 25 by using an industrial process with a UV assisted thermal curing as post-treatment. Some of these materials have been integrated in demonstrators. In the second part of this study, elaboration process impact on chemical structure has been analysed to better understand mechanical behaviour of these materials. Finally, a characterization method has been set up to measure the different contributions to the dielectric constant (electronic, ionic and dipolar). Then, the evolution of these contributions as a function of the elaboration parameters has been studied
APA, Harvard, Vancouver, ISO, and other styles
27

Jeannot, Simon. "Développement de matériaux déposés par PECVD pour les interconnexions optiques dans les circuits intégrés par une approche "back-end"." Lyon, INSA, 2006. http://theses.insa-lyon.fr/publication/2006ISAL0042/these.pdf.

Full text
Abstract:
Au cours cette thèse, des composants élémentaires d’optique intégrée ont été mis au point dans une technologie compatible avec une intégration au dessus de composants microélectroniques. Pour cela, trois matériaux présentant un fort contraste d’indice et de faibles pertes dans le proche infra rouge (1,3 et 1,55µm) ont été développés par dépôt PECVD, avant d’être utilisés dans des composants d’optique intégrée. Le carbone amorphe, le nitrure de silicium et le silicium amorphe ont été ainsi été étudiés. L’évolution des propriétés optiques des matériaux en fonction des paramètres de dépôt ont été étudiés et des couches de qualité optique ont été mise au point en nitrure silicium et en silicium amorphe. Guides d’ondes, virages et diviseurs de faisceaux par MMI ont ensuite été développés avec ces deux filières à l’aide d’outils de simulation et de caractérisation dédiés. Des composants à très faibles pertes et à forte compacité ont été démontrés, présentant des caractéristiques à l’état de l’art de l’optique intégrée submicronique tout en étant intégrables facilement au sein de circuits intégrés
In this thesis, we are interested in the use of a generalized cylinder state model for semi-automatic analysis of three-dimensional vascular images. This model is used on two levels: for image segmentation and quantification of the stenosis degree. The model is introduced in a vessel tracking strategy based on the Kalman state estimator, associated with the segmentation of plane contours by the level sets algorithm known as ``fast marching''. The interpretation of the model as a continuous geometrical object grants access to the analytical formulas used for stenosis quantification such as diameters and transversal areas. The algorithm was evaluated on a basis of 6 physical phantoms imaged in computed tomography angiography and in magnetic resonance angiography
APA, Harvard, Vancouver, ISO, and other styles
28

Chausseau, Matthieu. "Optimisation du traitement de l'information contenue dans les spectres émis par un plasma à couplage inductif." Lyon 1, 2001. http://www.theses.fr/2001LYO10155.

Full text
Abstract:
Il existe un besoin constant d'améliorer les performances analytiques des méthodes d'analyse élémentaire. L'utilisation de système ICP basés sur les détéecteurs à transfert de charge permet d'obtenir une quantité d'informations importante mais peu utilisée. Nous nous sommes intéressés à la corrélation des signaux, spécifique à la détection multicanal, et à la possibilité de détecter simultanément de nombreuses raies afin d'établir de nouvelles méthodes d'optimisation ou de complèter certaines études antérieures. Nous avons montré qu'une corrélation entre le fond et le signal de l'analyte pouvait être observée en visée axiale pour les raies situées dans la partie visible du spectre et qu'elle conduit à des améliorations de la limite de quantification d'un facteur deux. L'étude de la corrélation entre raies d'un même élément, a montré que l'optimisation des conditions opératoires permet d'observer des corrélations entre raies d'états d'ionisation différents. L'utilisation de l'étalonnage interne pour l'amélioration de la répétabilité des signaux et donc des limites de quantification peut s'en trouver facilité. L'utilisation d'un grand nombre de raies a permis d'établir des surfaces de réponse pour optimiser le signal net et le rapport signal sur fond.
APA, Harvard, Vancouver, ISO, and other styles
29

Favennec, Laurent. "Développement de matériaux diélectriques pour les interconnexions des circuits intégrés a-SiOC:H poreux "Ultra Low K" et a-SiC:H "Low K"." Montpellier 2, 2005. http://www.theses.fr/2005MON20160.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Artufel, Claude. "Caractérisation et analyse de la gravure du polysilicium par le chlore avec le système plasma triode pour la réalisation de circuits à haut niveau d'intégration." Aix-Marseille 2, 1987. http://www.theses.fr/1987AIX22019.

Full text
Abstract:
Cette these porte sur l'analyse d'un systeme plasma triode pour la gravure du polysilicium par le chlore. Elle comprend 3 chapitres: le premier chapitre est une revue des differents procedes et des divers systemes de gravure par plasma dans la fabrication du semiconducteur. Dans le deuxieme chapitre, nous avons decrit le dispositif experimental. La caracterisation de la gravure du polysilicium par le chlore en fonction des parametres du reacteur plasma triode, nous a permis de determiner un procede. Par l'observation des differents profils de gravure avec le microscope electronique a balayage, nous avons pu evaluer les differentes contributions des parametres de, pression, puissances du reacteur, sur l'anisotropie de la decoupe du polysilicium. Une analyse par spectrometrie de masse nous a permis de determiner l'ordre de la reaction silicium-chlore, et la predominance des especes ioniques a faible pression sur la gravure du polysilicium. Dans le dernier chapitre, nous avons etudie les courants ioniques dans la chambre de gravure avec des sondes electrostatiques, et confirme le role preponderant que joue le generateur haute frequence applique entre l'electrode superieure et la paroi de la chambre pour la creation des especes ioniques. L'evaluation de la densite ionique faite au centre de la decharge classe le systeme triode dans la categorie des plasmas type planaire faiblement ionises
APA, Harvard, Vancouver, ISO, and other styles
31

Artufel, Claude. "Caractérisation et analyse de la gravure du polysilicium par le chlore avec le système plasma triode pour la réalisation de circuits à haut niveau d'intégration." Grenoble 2 : ANRT, 1987. http://catalogue.bnf.fr/ark:/12148/cb37602408q.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Camargo, Da Costa José. "Réalisation de couches minces de nitrure de silicium et d'oxyde de silicium nitruré par plasma réactif d'ammoniac en vue d'applications pour les technologies de circuits intégrés submicroniques." Grenoble INPG, 1988. http://www.theses.fr/1988INPG0026.

Full text
Abstract:
Description du dispositif experimental. Presentation des resultats des analyses physicochimiques (spectrometrie auger, sims, xps, spectrometrie ir, revelation chimique, ellipsometrie, tem haute resolution) realisees sur les couches minees obtenues, evaluation des proprietes electriques des couches d'oxyde nitrure par des mesures c(v) et i(v) sur des condensateurs mos. Etudes preliminaires sur la resistance de ces oxydes aux rayonnements ionisant et sur les proprietes de barriere a l'oxydation des couches obtenues par nitruration plasma du silicium
APA, Harvard, Vancouver, ISO, and other styles
33

Camargo, Da Costa José. "Réalisation de couches minces de nitrure de silicium et d'oxyde de silicium nitruré par plasma réactif d'ammoniac en vue d'applications pour les technologies de circuits intégrés submicroniques." Grenoble 2 : ANRT, 1988. http://catalogue.bnf.fr/ark:/12148/cb376123764.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Hutsel, Brian T. Kovaleski Scott D. "Runtime and jitter of a laser triggered gas switch." Diss., Columbia, Mo. : University of Missouri--Columbia, 2008. http://hdl.handle.net/10355/5783.

Full text
Abstract:
The entire thesis text is included in the research.pdf file; the official abstract appears in the short.pdf file; a non-technical public abstract appears in the public.pdf file. Title from PDF of title page (University of Missouri--Columbia, viewed on September 24, 2009). Thesis advisor: Dr. Scott Kovaleski. Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
35

Charles-Alfred, Cédric. "Mise au point de matériaux barrières diélectriques de type 1-SiC:H à faible permittivité déposés par PECVD pour réduire la capacité intermétallique dans les interconnexions avancées des circuits intégrés." Nantes, 2009. http://www.theses.fr/2009NANT2088.

Full text
Abstract:
Avec la diminution des tailles de transistor, les performances des circuits intégrés ne sont plus limitées par les temps de commutation de ces transistors mais par le délai de propagation du signal dans les lignes métalliques qui relient ces transistors entre eux. C’est pour réduire ce délai que de nouveaux matériaux diélectriques à faible permittivité sont développés pour l’isolation de ces lignes métalliques. Cette étude se focalise sur le diélectrique barrière à la diffusion du cuivre et le matériau développé durant ce travail de thèse est un carbure de silicium amorphe hydrogéné (a-SiC:H). Les a-SiC:H sont généralement élaborés par dépôt PECVD à partir d’un précurseur : le Triméthylsilane (3MS) dilué dans l’Hélium. Dans cette étude, il a été choisi de rajouter à ce mélange gazeux un précurseur organique (toluène ou propène ou éthylène) afin de contrôler la proportion de carbone incorporée dans le film. En effet, les résultats obtenus montrent que l’apport de liaisons C-H a pour effet de diminuer la permittivité du film déposé (de 4,8 à 3. 2) en agissant sur la densité sans pour autant provoquer l’apparition de porosité. Toutefois, les analyses réalisées sur ces matériaux montrent qu’un compromis est nécessaire entre le taux de carbone incorporé (C/Si =2) et la densité des films (d ≥ 1,3) de façon à diminuer la permittivité sans altérer les propriétés nécessaires à l’intégration. La réalisation d’un démonstrateur a montré que les matériaux développés sont compatibles avec les procédés déjà mis en place par les industriels, et les premiers essais de remplissage de vias profonds montrent qu’ils sont utilisables pour les futures technologies comme l’intégration 3D
With the decreasing size of transistors, the performances of integrated circuits are no longer limited by the switching time of these transistors. The propagation delay in the metallic lines that connect these transistors to each other is the limiting factor. In order to reduce this delay, new materials with low dielectric permittivity are developed for the insulation of the metallic lines. This study focuses on the dielectric barrier against copper diffusion. The material developed in this thesis is an amorphous hydrogenated silicon carbide (a-SiC: H). It is usually deposited by PECVD using Trimethylsilane (3MS) diluted in helium as precursor. In this study, it was decided to add an organic precursor (toluene or propylene or ethylene) to the gas mixture 3MS/He to control the proportion of carbon incorporated in the film. Indeed, the results show that, whatever the organic precursor used, the incorporation of C-H bonds in the film structure leads to the reduction of the dielectric constant of the material deposited (from 4. 8 to 3. 2) by acting on the density of the film without causing the appearance of any porosity. However, the analyses made on these materials show that a compromise is needed between the carbon incorporation (C / Si = 2) and density of the films (d ≥ 1. 3) in order to decrease the permittivity without affecting the properties needed for integration. The fabrication of a demonstrator showed that the materials developed are compatible with the processes already used by manufacturers. Moreover, the first experiments done on insulation of through silicon vias show that those materials are suitable for future technologies such as 3D integration
APA, Harvard, Vancouver, ISO, and other styles
36

Ahner, Nicole [Verfasser], Thomas [Akademischer Betreuer] Geßner, Thomas [Gutachter] Geßner, Stefan E. [Akademischer Betreuer] Schulz, and Michael [Gutachter] Hietschold. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Nicole Ahner ; Gutachter: Thomas Geßner, Michael Hietschold ; Thomas Geßner, Stefan E. Schulz." Chemnitz : Universitätsbibliothek Chemnitz, 2013. http://d-nb.info/1214244793/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Lee, Ko-Hsin. "INVESTIGATION ET FABRICATION DE STRUCTURES EN CRISTAUX PHOTONIQUES BIDIMENSIONNELS POUR EMISSION DE LUMIERE ET CONTROLE DE MODE OPTIQUE A 1,55 µm." Phd thesis, Université Paris Sud - Paris XI, 2008. http://tel.archives-ouvertes.fr/tel-00309092.

Full text
Abstract:
Ce travail de thèse porte sur des composants à cristaux photoniques (CP) bidimensionnels réalisés dans des matériaux à base d'InP pour un fonctionnement dans le domaine 1,55 µm. Au sein du CP, la périodicité de la constante diélectrique génère une bande interdite photonique, domaine de fréquence dans lequel la propagation des modes optiques est interdite. L'introduction de défauts dans le CP permet à certains modes optiques localisés d'exister. De telles structures peuvent alors être utilisées comme brique élémentaire d'un circuit intégré photonique. Nous avons étudié des adaptateurs de mode et des lasers monofréquences ainsi que des guides d'onde sur membrane InP.

Les CP sont ici un réseau de trous fabriqués à l'aide de la gravure ionique réactive associée à un plasma à couplage inductif. Dans un plasma Cl2/Ar optimisé, nous avons obtenu une profondeur de gravure de 2,9 µm pour des trous de 250 nm diamètre. Nous avons montré que la présence de N2 dans un plasma contenant du chlore renforce la gravure anisotrope et supprime la rugosité des surfaces gravées, et que l'addition de BCl3 permet d'augmenter la verticalité des trous. Le plasma BCl3/N2 a permis d'obtenir les meilleurs profils et états de surface et une profondeur gravée de 1 µm.

Plusieurs géométries d'adaptateurs de mode à CP ont été étudiées et leurs spectres de transmission ainsi que la divergence du mode émergent ont été caractérisés et comparés avec les résultats de simulation. La meilleure géométrie conduit à une amélioration de l'efficacité de transmission d'un facteur 4. Les guides W1 sur membrane InP présentent des pertes de propagation de 25 dB/cm pour des fréquences situées sous la ligne de lumière.
APA, Harvard, Vancouver, ISO, and other styles
38

Pichon-Balmadier, Laurianne. "Etude et optimisation du procédé STiGer de gravure profonde du silicium." Thesis, Orléans, 2010. http://www.theses.fr/2010ORLE2006.

Full text
Abstract:
La gravure profonde du silicium est une étape de fabrication de microsystèmes et de composants demicroélectronique de puissance. Actuellement, deux procédés (Bosch et cryogénique) sont principalementemployés pour structurer le silicium à l'échelle micrométrique. Ce travail de thèse porte sur l'étudeet l'optimisation d'un procédé de gravure profonde du silicium, nommé STiGer. Celui-ci comporte desalternances entre plasmas de gravure et de passivation, à l'instar du procédé Bosch, ainsi qu'une chimieplasma proche du procédé cryogénique. La passivation s'effectue par le dépôt d'un film SiOxFy en plasmaSiF4/02, le substrat de silicium étant refroidi à une température cryogénique. Dans ce travail, unecaractérisation du film passivant a été effectuée de manière à permettre une optimisation plus efficace etplus rapide des procédés de gravure STiGer. La caractérisation du film SiOxFy déposé en chimie SiF4/02a été effectuée par FTIR ex situ, par ellipsométrie spectroscopique in situ ainsi que par des expériences,permettant de tester la résistance du film passivant à un plasma de gravure SF6. La croissance du filmSiOxFy est favorisée en présence de radicaux d'oxygène et SiFx, avec une température de substrat inférieureà -80°(. De plus, la résistance du film passivant à un plasma de gravure semble liée à son épaisseur. Le filmSiOxFy obtenu en plasma SF6/02 a été caractérisé par XPS in situ en collaboration avec l'IMN. Lors dela remontée en température du substrat, les composés contenant de l'oxygène désorbent vers -90°C, suivisd'une désorption de la molécule SiF4, issue d'une réorganisation des atomes sur la surface. Enfin le procédéSTiGer a été optimisé pour deux applications: la gravure de tranchées d'ouverture submicronique pourréaliser des condensateurs en 3D et le perçage de plaque de silicium pour l'interconnexion
Silicon deep etching is part of microsystems and power microelectronic devices manufacture process.Nowadays, two main processes (Bosch and cryogenic) are used to pattern silicon at a micrometric scale.This work focuses on the study and the optimisation of a process for deep silicon etching, named STiGerprocess. The latter consist in alternating etching and passivation plasmas, as for the Bosch process. Italso comprise a cryogenic process like plasma chemistry, since a SiOxFy passivation film is deposited by aSiF4/02 plasma, the silicon substrate being cooled down to cryogenic temperatures. This work includesthe characterisation of the passivation film, in order to efficiently and rapidly develop STiGer etchingprocesses. The SiOxFy film deposited by SiF4/02 plasma has been characterized by in situ FTIR, by insitu spectroscopic ellipsometry as weil as by experiments, that allowed to evaluate the passivation filmresistance to a SF6 etching plasma. The SiOxFy film growth is enhanced by the presence of 0 and SiOFxradicals in the plasma, when the substrate temperature is less than -80°(. Moreover, the film resistanceto an etching plasma may be due to its thickness. The SiOxFy film grown by a SF6/02 plasma has beencharacterised by in situ XPS in collaboration with IMN. When the substrate is heated up to ambienttemperature, the oxygen containing components desorb first at a temperature of approximately -90°(.Secondly, the desorption of the SiF4 molecule is caused by a rearrangement of the atoms at the surface.Finally, STiGer process has been optimized for two applications: etching of submicronic trenches for 3Dcapacitance production and through wafer etching for interconnexion
APA, Harvard, Vancouver, ISO, and other styles
39

Ahner, Nicole. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits: Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen." Doctoral thesis, Universitätsverlag der Technischen Universität Chemnitz, 2011. https://monarch.qucosa.de/id/qucosa%3A19826.

Full text
Abstract:
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given.
In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
APA, Harvard, Vancouver, ISO, and other styles
40

Babaud, Laurène. "Développement et optimisation d’un procédé de gravure grille polysilicium pour les nœuds technologiques 45 et 32 nm." Grenoble INPG, 2010. http://www.theses.fr/2010INPG0034.

Full text
Abstract:
Dans la course à l'intégration, l'un des paramètres les plus critiques dans la fabrication des dispositifs et leur performance est la définition des grilles des transistors et en particulier le contrôle en dimension de ces grilles de transistors. Pour le nœud technologique 45nm, la variation totale de dimension devra être inférieure à 2. 8nm sur une tranche de 300mm. Cela comprend la variation intrapuce, intraplaque, plaque à plaque et lot à lot. Cette thèse porte sur l'étude des interactions plasma/matériaux lors d'un procédé industriel de gravure d'une grille polysilicium pour le nœud technologique 45nm. L'analyse dimensionnelle des motifs et la caractérisation chimique des surfaces exposées aux plasmas ont permis de caractériser et d'optimiser ce procédé de gravure. L'analyse des différents contributeurs de variabilité de la dimension critique des grilles, conjuguée à la compréhension approfondie des mécanismes de gravure par plasma, a permis de mettre en place des actions correctives afin de minimiser ces sources de variations. La gravure du polysilicium est contrôlée par la formation d'une couche fluorocarbonnée se formant en surface des flancs du polysilicium. La maitrise de cette couche passivante par les conditions du plasma (pression, puissance source débit de gaz. . . ) a permis de développer une boucle de régulation innovante afin d'optimiser le contrôle de la dispersion des CD d'un lot à un autre. La mise en place de ce genre de boucle faisant varier plusieurs paramètres de la gravure par plasma sera la clef pour le contrôle dimensionnel des futurs nœuds technologiques en microélectronique
One of the critical parameters in a system on chip manufacturing and performance is the dimension control of the transistor gate. For the 45 nm technology node, the total variation of this critical dimension must be below 2. 8 nm on 300mm diameter substrate. This PhD work studies the plasma/materials interaction for an industrial polysilicon gate etch process for the 45nm technology node. The dimensional analysis of the pattern combined with the understanding of the plasma etch mechanisms by chemical characterization of the surfaces exposed to the plasma enable us to characterize and optimize the etch process. Moreover, corrective actions were put in place in order to control variations sources. Notably, the formation of fluorocarbon passivation layer on the polysilicon sidewalls, controlled by the plasma conditions, allowed us to develop an innovation regulation loop correcting the CD dispersion from a lot to another. Such kind of loop using multiple plasma parameters would play a key role in the CD control of the next technologic node
APA, Harvard, Vancouver, ISO, and other styles
41

Leclercq, Jean-Louis. "Dépôt de nitrure de phosphore sur InP par P. E. C. V. D. Précédé d'un nettoyage "in situ" pour la réalisation d'un transistor MISFET." Montpellier 2, 1990. http://www.theses.fr/1990MON20174.

Full text
Abstract:
L'etude de la passivation d'inp pour la realisation de transistor misfet nous a conduit a developper une technique de depot par plasma r. F. Dans le melange reactionnel pcl#3, h#2 et nh#3, d'une couche mince de nitrure de phosphore precedee d'un nettoyage in situ du substrat inp. Les caracterisations electriques c(v) et physico-chimiques (irtf, auger, ellipsometrie, meb) nous ont permis dans un premier reacteur a parois chaudes de determiner la faisabilite de ce procede. Les depots sont optimises dans un reacteur a parois froides en fonction des caracteristiques c(v), i(v), g(v) et la determination de la densite d'etats d'interface (methode de terman et d. L. T. S. ). La mesure des gaps optiques de ces nitrures est effectuee par spectroscopie d'absorption u. V. -visible. Les observations des proprietes electriques et physico-chimiques nous amenent a proposer un modele dualiste entre nettoyage et depot plasma en fonction de la composition de la phase gazeuse reactive
APA, Harvard, Vancouver, ISO, and other styles
42

Benaboud, Rym. "Étude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités métal / isolant / métal dans les circuits intégrés." Grenoble INPG, 2009. http://www.theses.fr/2009INPG0055.

Full text
Abstract:
Les capacités MIM (Métal/Isolant/Métal), au coeur de cette étude, sont des composants intégrés entre les niveaux d'interconnections. Le développement de nouvelle architecture en trois dimensions impose de déposer les films ultraminces constituant la capacité MIM de manière très conforme. Ce qui conduit à utiliser un nouveau procédé de dépôt : la méthode ALD assistée par plasma ou PEALD. De plus l'augmentation des performances électriques des MIM passe par une maîtrise des propriétés des électrodes et des interfaces créées entre le diélectrique et les électrodes métalliques. Les matériaux développés dans cette étude sont Ti- N-C and W-N- C, déposés par PEALD à partir de précurseurs organométalliques TDMAT et BTBMW. Une étude sur les propriétés physico-chimiques et électriques des films est effectuée ainsi que l'intégration de ces films dans les capacités MIM
MIM (Metal Insulator Metal) capacitors are widely integrated for RF and analog applications. The 3D architecture allows a capacitance density gain but require high conformality deposition technique to get conformal layers and high performance. PEALD method was used for the electrode and dielectric thin films deposition. Electrodes must exhibit a low resistivity, present a high work function and exhibit a good chemical compatibility with the dielectric material. To address these issues, Ti-N-C and W-N-C films have been investigated and deposited from organometallic precursors TDMAT et BTBMW. Chemical and electrical properties of the elaborated films and their integration as electrode in MIM capacitors are investigated
APA, Harvard, Vancouver, ISO, and other styles
43

Nguyen, Van Duy. "Modes normaux des oscillations de la phase supraconductrice dans des chaînes de jonctions Josephson." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAY050/document.

Full text
Abstract:
Le sujet de thèse est une étude théorique des modes normaux d’oscillations plasma dans des chaînes de jonctions Josephson supra-conductrices. Les propriétés de ces modes normaux peuvent être contrôlés en choisissant une modulation spatiale appropriée de paramètres des jonctions le long de la chaîne et/ou un couplage approprié à l'environnement extérieur. Le travail théorique au sein du LPMMC se fait en étroite collaboration avec l'équipe expérimentale"Cohérence Quantique" à l'Institut Néel. Les problèmes spécifiques étudiés dans la thèse sont : modélisation détaillée du couplage des modes normaux à l'environnement pour leur caractérisation dans une expérience de transmission de micro-ondes, dissipation intrinsèque des oscillations du plasma à cause de quasi-particules hors équilibre, l'optimisation de la structure spatiale de la chaîne de jonctions Josephson pour son utilisation en tant qu'une super-inductance
The subject of thesis is a theorerical study of normal modes of plasma oscillations in superconducting Josephson junction chains. The properties of these normal modes can be controlled by choosing an appropriate spatial modulation of the junction parameters along the chain and/or an appropriate coupling to the external environment. The theoretical work at LPMMC is performed in a close collaboration with the experimental Quantum Coherence group at Néel Institute. The specific problems studied in this thesis are : detailed modeling of the normal mode coupling to the environment for probing them in a microwave transmission experiment, intrinsic dissipation of plasma oscillations due to the presence of non-equilibrium quasi-particles, optimization of the spatial structure of the Josephson junction chain for its use as a super-inductance
APA, Harvard, Vancouver, ISO, and other styles
44

Fraczkiewicz, Alexandra. "Développement de la tomographie par rayons X en synchrotron pour l'industrie : application à l'analyse de défaillance en intégration 3D." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAY088/document.

Full text
Abstract:
Ce travail de thèse vise à développer de nouvelles techniques de caractérisation pour l'intégration 3D en micro-électronique. Plus précisément, ce travail porte sur l'imagerie 3D de tels objets et la mesure des contraintes par diffraction de Bragg, réalisées sur de récentes lignes de lumière de l'ESRF (European Synchrotron Radiation Facility).L'intégration 3D a pour but de répondre aux besoins de performances de la micro-électronique, en empilant les différents éléments constituant les puces au lieu de les placer les uns à côté des autres; ceci permet de limiter la place qu'ils occupent et la longueur des connections. Pour ce faire, de nouvelles connections entre puces ont du être développées, telles que les piliers de cuivre et les pads de cuivre, utilisés dans le cas du collage hybride. Afin de maîtriser leurs procédé de fabrication, il est important de pouvoir caractériser ces objets, à la fois par des moyens d'imagerie et de mesure de la déformation dans les puces. Ces mesures doivent permettre un large champ de vue (100 µm), ainsi qu'une haute résolution (50 nm). De plus, afin de satisfaire les besoins en temps de l'industrie micro-électronique, les techniques choisies doivent être aussi rapides et automatiques que possible.Pour satisfaire ces besoins, plusieurs techniques ont été étudiés durant ces travaux de thèse.Une technique d'imagerie 3D par Slice and View, inspirée de la technique classique du FIB/SEM et implémentée dans un PFIB (Plasma Focused Ion Beam), a été développée durant ces travaux de thèse. Elle permet aujourd'hui l'acquisition de larges volumes de manière automatique. De même, le procédé d'analyse des mesures de tomographies réalisées sur la ligne de lumière ID16A de l'ESRF a été adapté, afin de limiter au maximum l'intervention humain et le temps global d'analyse.Des mesures de déformations ont également été menées à l'ESRF, sur une ligne de nano-diffraction, ID01. Ces expériences ont été réalisées sur des empilements dédiés au collage, hybride ou direct. Il a été possible de mesurer en une seule expérience les déformations présentes dans deux couches de silicium, et de réaliser des mesures textit{in situ} dans le cuivre.Dans les travaux de thèse présentés ici, nous montrons les possibilités de techniques synchrotron (imagerie et mesure de déformations) pour la caractérisation d'objets issus de l'intégration 3D. Nous montrons que certaines adaptations des techniques existante peuvent permettre des analyses routinières à haute résolution pour le milieu de la micro-électronique
This PhD thesis aims at developing new characterization techniques for 3D integration in microelectronics. More specifically, the focus is set on recent ESRF (European Synchrotron Radiation Facility) beamlines, both for 3D imaging by tomography and for strain measurements by Bragg diffraction.3D integration aims at reducing the global microelectronics devices footprint and connections length, by stacking the dies on top of one another instead of setting them one to another. This new geometry however requires new connections, such as copper pillars (CuP) and copper pads, used in hybrid bonding. The monitoring of their fabrication process requires their imaging in three dimensions, and the measure of the strain inside them. Those measurements must be conducted on large areas (100 µm2), with high resolution (500 nm for strain and 100 nm for imaging). Moreover, given the industrial context of this study, the characterization methods must be as routine and automatic as possible.To answer those needs, several techniques have been developed in this work.Two 3D imaging techniques have been made compatible with the requirements of 3D integration characterization. A Slice and View procedure has been implemented inside a single beam PFIB, leading to large volumes 3D automated imaging. The tomography workflow accessible on the ID6A beamline of the ESRF has been adapted, in order to limit the human intervention and beam times. This leads to possible statistical measurements on this beamline.Strain measurements have been conducted on the ID01 beamline of the ESRF, on silicon and copper stacks meant for direct and hybrid bonding. They allowed for simultenous local strain measurements in two independent layers of silicon, and textit{in situ} measurements in copper.In this work, we show the possibilities of synchrotron based techniques (here, tomography and Bragg diffraction) for the chacracterization of 3D integration devices. We show that, provided some adjustments, these techniques can be used routinely for the microelectronics field
APA, Harvard, Vancouver, ISO, and other styles
45

Beaurain, Arnaud. "Étude de fonctions passives à base de nanostructures photoniques." Lille 1, 2003. https://ori-nuxeo.univ-lille1.fr/nuxeo/site/esupversions/bd95bbe3-986e-42ca-b758-5597d0012cf9.

Full text
Abstract:
Ce travail présente une étude de guides d'onde de taille submicrométrique (GOTS) réalisés en filière InP, filière particulièrement adaptée aux composants actifs des télécommunications à 1,3æm et 1,55æm. L'intérêt de tels dispositifs à fort confinement optique se manifeste dans la miniaturisation des systèmes optoélectroniques. Plusieurs méthodes de gravure des GOTS ont été envisagées : humide, RIE et ICP ; ce qui, parallèlement à des simulations par BPM (beam propagation method) de la propagation dans des GOTS idéaux permettant de déterminer l'influence des différents paramètres technologiques sur les pertes de propagation, nous a permis de dégager une structure épitaxiale et une technologie préférentielles. L'analyse de ces différentes informations nous a permis de réaliser par gravure ICP des GOTS de 0,3æm de large au minimum, présentant des pertes du même ordre de grandeur que celles relevées dans la littérature pour des structures similaires réalisées en filière GaAs.
APA, Harvard, Vancouver, ISO, and other styles
46

Vallat, Rémi. "Dépôts sélectifs d'oxydes de Titane et de Tantale par ajout d'un plasma de gravure dans un procédé PEALD pour application aux mémoires résistives." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT073/document.

Full text
Abstract:
Depuis l’apparition du circuit intégré, la performance des dispositifs semi-conducteurs est reliée à leur miniaturisation via le développement de procédés spécifiques tels que la lithographie. Néanmoins, la réduction des dimensions des dispositifs aux échelles nanométriques rend les étapes de patterning de plus en plus complexes et coûteuses (EUV, gestion de plusieurs passes de masque par couche et erreur de placement du/des masque(s) …) et pousse les fabricants de puces à se tourner vers des méthodes alternatives. Dans le but de réduire les coûts de fabrication des circuits intégrés, une approche bottom-up reposant sur l’utilisation de procédés de dépôts sélectifs est désormais envisagée, au détriment des approches conventionnelles top-down basées sur les procédés de lithographie. La solution de dépôt par couche atomique (ALD) est une technique appropriée pour le développement d’un procédé sélectif en raison de sa très grande sensibilité à la chimie de surface. Ce procédé est appelé dépôt sélectif de zone (ASD pour Area Selective Deposition). Il est basé sur un traitement spécifique d'activation ou de désactivation des réactions chimiques de surface avec le précurseur et/ou le réactif en mode ALD. Ces modifications de réactivité peuvent être obtenues en utilisant une couche de germination (activation) ou des groupes organiques tels que des monocouches auto-assemblées (SAM) (désactivation). Une autre voie est de tirer parti du retard inhérent à la croissance (ou temps d’incubation) sur différents substrats. Dans cette thèse, nous avons développé un nouveau procédé ASD d’oxyde métallique en combinant un dépôt de couche atomique et une étape de gravure qui permet de bloquer la croissance sur substrat à base de silicium (Si, SiO2 et SiN) versus un substrat métallique (TiN). L'étape de gravure est réalisée par addition de NF3 dans un plasma d'oxygène tous les n cycles du procédé PEALD. Nous avons utilisé ce procédé pour le dépôt de deux oxydes actuellement à l'étude pour les applications de mémoires résistives non-volatiles : Ta2O5 et TiO2. Le but des dépôts sélectifs pour l'application mémoire est de réaliser des points mémoires localisés métal/isolant/métal en intégration 3D verticale dite VRRAM
At advanced nodes, lithography starts to dominate the wafer cost (EUV, managing multiple mask passes per layer and pattern placement error….). Therefore, complementary techniques are needed to continue extreme scaling and extend Moore’s law. Selective deposition and etching is one of them because they can be used to increase and enhance patterning capabilities at very low cost. From all the different deposition processes, Atomic Layer Deposition (ALD) is maybe the most suitable technique to develop a selective process due to its very good coverage property and its high surface sensitivity. This process is called Area Selective Deposition and is a selective deposition process for bottom-up construction It is usually based on a specific surface activation or deactivation treatment in order to activate or limit / inhibit chemical reactions with the ALD precursor / reactant. This surface modifications are usually obtained by using seed layer (activation) or organic groups such as Self-Assembled Monolayers (SAM) (deactivation). Another pathway for selective area deposition with ALD is to take advantage of the inherent substrate-dependent growth initiation: this is inherent selectivity based on difference of nucleation delay. In this thesis, we have proposed a new ASD process of thin oxide by combining atomic layer deposition and etching step (super-cycle) for a 3D Vertical RAM integration. This allows the selective growth of a thin oxide on a metal substrate without deposition on an insulator and/or a semi-conductor substrate(s). The etching step is achieved by NF3 addition in an oxygen plasma every n cycles of the PEALD process allowing (1) to etch the oxide layer on Si and/or SiO2 surface while keeping few nanometers of oxide on TiN substrate and (2) to passivate this two surfaces and to add a new incubation time on Si or SiO2 substrates. We used this process for the deposition of two oxides that are currently under study for non-volatile resistive memories applications: Ta2O5 and TiO2. The intention for memory application is to realize a crosspoint memory in Back-End level from a pattern area or a trench area without the photolithography step
APA, Harvard, Vancouver, ISO, and other styles
47

Duluard, Corinne. "Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium." Phd thesis, Université d'Orléans, 2009. http://tel.archives-ouvertes.fr/tel-00413276.

Full text
Abstract:
La gravure plasma de structures à fort rapport d'aspect dans le silicium est une étape clé dans la fabrication de microsystèmes et de composants de microélectronique de puissance. L'objectif de ce travail est de développer un procédé de gravure profonde du silicium, qui fonctionne à plus haute température de substrat que le procédé cryogénique en chimie plasma SF6/O2 et qui présente une meilleure stabilité en température et en concentration de gaz passivant(s). Dans ce but, de nouvelles voies de passivation non polymérisante ont été explorées. Nous avons évalué les possibilités de passivation par l'apport de SO2 en remplacement de O2. A température cryogénique, les propriétés de gravure sont semblables en plasma SF6/SO2 et SF6/O2 ; elles sont corrélées aux densités de neutres mesurées par spectrométrie de masse et actinométrie. La majeure partie des recherches a été consacrée à l'étude de la molécule SiCl4 comme précurseur de passivation. Nous avons au préalable analysé les interactions entre espèces générées en plasma SF6/SiCl4. Les expériences de caractérisation du plasma montrent que les réactions aux parois entre atomes F et espèces SiClx contrôlent la chimie du plasma et donc les propriétés de gravure du silicium. En mélange SF6/O2/SiCl4, ces réactions influent également sur la vitesse de gravure du substrat, mais l'ajout de SiCl4 à SF6/O2 a surtout pour effet de favoriser l'attaque chimique latérale. Nous avons finalement étudié la possibilité de former une couche de passivation par plasma SiCl4/O2 à température de substrat de -20 °C. Les résultats de cette étude permettent de proposer un nouveau procédé, basé sur l'alternance d'étapes de gravure par plasma SF6 et d'étapes de passivation par plasma SiCl4/O2.
APA, Harvard, Vancouver, ISO, and other styles
48

Verdonck, Patrick Bernard. "Corrosão de tungstenio por plasma." [s.n.], 1993. http://repositorio.unicamp.br/jspui/handle/REPOSIP/260413.

Full text
Abstract:
Orientador : Jacobus W. Swart
Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica
Made available in DSpace on 2018-07-18T13:53:57Z (GMT). No. of bitstreams: 1 Verdonck_PatrickBernard_D.pdf: 18440286 bytes, checksum: 3be31f1d7e48fc0452a7a3898433e08a (MD5) Previous issue date: 1993
Resumo: Neste trabalho apresentamos o desenvolvimento de processos de "back-etch" para a formação de "plugs" e de processos para obter estruturas em tungstênio com paredes verticais. Ao mesmo tempo apresentamos o estudo dos mecanismos da corrosão de tungstênio por plasma. Neste trabalho usávamos principalmente dois tipos de equipamentos de corrosão por plasma. O primeiro tem a potência aplicada a uma frequência de 25 kHz, ao invés da tradicional 13,56 MHz. O segundo é do tipo reator com confinamento magnético. Em ambos os sistemas é possível obter corrosão química e corrosão induzida por bombardeamento iônico. Conseguimos obter bons processos de IIback-etch" em ambos os equipamentos. É possível obter estruturas de tungstênio com paredes verticais em ambos os equipamentos. Porém a seletividade de tungstênio para fotorresiste é sempre baixa, tipicamente 1:1. Portanto precisar-se-ia de um tipo de máscara especial para a corrosão de tungstênio quando este é usado como interconexão. A maioria dos mecanismos, como descritos na literatura foi confirmada neste trabalho. Onde há contradições na literatura, conseguimos determinar qual mecanismo é valido, como no caso de corrosão química, ou em quais circunstâncias qual mecanismo é válido, como no caso da formação e influência do óxido de tungstênio. Podemos também concluir que para a grande maioria dos processos, o mecanismo que limita a taxa de corrosão do tungstênio, é a chegada das espécies reativas na superfície da lâmina. Para os outros processos, há fortes indicações que a interação plasmatungstênio- resiste causa fenômenos que limitam a taxa de corrosão. As conclusões deste trabalho não são apenas válidas para os equipamentos estudados aqui, mas também para outros sistemas
Abstract: In this work, we present the development of processes to obtain a back etch process for plug formation in via holes and of processes to obtain tungsten structures with vertical walls. At the same time, the mechanisms behind the tungsten etching were studied. These studies were mainly performed in two different types of equipment. The first one is a system with power applied at 25 kHz, instead of the traditional 13.56 MHz. The second equipment is a magnetically confined reactor. In both systems it is possible to have chemical etching and bombardment enhanced etching. We were able to develop good back etch processes in both equipment. It is possible to obtain tungsten structures with vertical walls in both equipment, but the selectivity of the tungsten towards the resist is always low, typically 1:1. Therefore, one would need special mask structures or materiaIs for this type of etching of tungsten when it is used as an interconnect material ( e.g. as metal 1 ). Most of the mechanisms of the tungsten etching as reported in the literature were confirmed in this work. Where there are contradictions, mainly about the possibility of chemical etching of tungsten and the formation and influence on the etching of the tungsten oxide, we were able to draw one conclusion, e.g. that chemical etching is possible, or indicate in which circurnstances one mechanism is valid and in which circurnstances another mechanism is valid, as in the case of the etch delaying role of tungsten oxide. We could also conclude that the arrival of the active species at the surface of the wafer is the etch rate limiting step most processes. For other processes, we have strong indications that the interaction plasma-tungsten-resist induces some phenomena which limit the etch rate. And for some other processes the removal of the etch product can be indicated as the etch rate limiting step. These conclusions are not only valid for the systems studied in this work, but also for other types of equipment
Doutorado
Doutor em Engenharia Elétrica
APA, Harvard, Vancouver, ISO, and other styles
49

Svensson, Anders. "Current Disruptions in a Plasma Driven Probe Circuit." Thesis, KTH, Alfvénlaboratoriet, 1996. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-39338.

Full text
Abstract:
Measurements are made to investigate the nature of a fast current disruptionappearing in one of the experimental devices at the Alfven Laboratory. Thedisruption appears when we let the induced electric field ( -vxB) in a transverselymagnetized flowing plasma drive a current between two short circuited plasmaprobes. It is the current measured in the circuit connecting the two probes thatshow the disruptive behaviour. The parameters of the typical disruption are a 5 Adrop in 25 ns.The results of the measurements indicate that the disruption is a relatively generalprocess. Instead of being a consequence of some special detail in the probeconfiguration it turns out to be a process appearing whenever we draw a currentbetween two probes in the plasma.The origin of the disruption is located and it turns out to be at, or at least near,the negatively biased probe.Simultaneous current and plasma density measurements indicate that the currentin the probe circuit generally exceeds the theoretical ion saturation current. Forthis to be possible there has to be some sort of electron emission process present atthe surface of the negatively biased probe. The conclusion is that it is this processthat fails when the current disrupts. Cathode spots are sometimes observed on thenegative probe, and they are believed to be the emission process in question.An analysis of the probe circuit gives that the measured current-voltagebehaviour during a disruption in a good way agrees with the assumption that acathode spot is extinguished at the negatively biased probe. When combining themodel of the circuit with a negative current-voltage characteristic for the cathodespot we get an unstable system. The disruption is believed to reflect this instability.The negative current-voltage characteristic needed to make this descriptionsuccessful is well described by curves found in literature on cathode spots.
APA, Harvard, Vancouver, ISO, and other styles
50

Wosinski, Lech. "Technology for photonic components in silica/silicon material structure." Doctoral thesis, KTH, Microelectronics and Information Technology, IMIT, 2003. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3556.

Full text
Abstract:

The main objectives of this thesis were to develop a lowtemperature PECVD process suitable for optoelectronicintegration, and to optimize silica glass composition forUV-induced modifications of a refractive index in PECVDfabricated planar devices. The most important achievement isthe successful development of a low temperature silicadeposition, which for the first time makes it is possible tofabricate good quality low loss integrated components whilekeeping the temperature below 250oC during the entirefabrication process. Two strong absorption peaks thatappear at1.5 mm communication window due to N-H and Si-H bonds have beencompletely eliminated by process optimization. This openspossibilities for monolithic integration with other,temperature sensitive devices, such as semiconductor lasers anddetectors, or polymer-based structures on the common siliconplatform. PECVD technology for low loss amorphous silicon inapplication to SiO2/Si based photonic crystal structures hasbeen also optimized to remove hydrogen incorporated during thedeposition process, responsible for the porosity of thedeposited material and creation of similar to silica absorptionbands.

Change of the refractive index of germanium doped silicaunder UV irradiation is commonly used for fabrication of UVinduced fiber Bragg gratings. Here we describe our achievementsin fabrication of fiber Bragg gratings and their application todistributed sensor systems. Recently we have built up a laserlab for UV treatment in application to planar technology. Wehave demonstrated the high photosensitivity of PECVD depositedGe-doped glasses (not thermally annealed) even without hydrogenloading, leading to a record transmission suppression of 47dBin a Bragg grating photoinduced in a straight buried channelwaveguide. We have also used a UV induced refractive indexchange to introduce other device modifications or functions,such as phase shift, wavelength trimming and control ofpolarization birefringence.The developed low temperature technology and the UVprocessing form a unique technology platform for development ofnovel integrated functional devices for optical communicationsystems.

A substantial part of the thesis has been devoted tostudying different plasma deposition parameters and theirinfluence on the optical characteristics of fabricatedwaveguides to find the processing window giving the besttrade-off between the deposition rate,chamber temperatureduring the process, optical losses and presence of absorptionbands within the interesting wavelength range. The optimalconditions identified in this study are low pressure (300-400mTorr), high dilution of silane in nitrous oxide and high totalflow (2000 sccm), low frequency (380 KHz) RF source and high RFpower levels (800-1000 W).

The thesis provides better understanding of the plasmareactions during the deposition process. RF Power is the keyparameter for increasing the rate of surface processes so as toaccommodate each atomic layer in the lowest energy statepossible. All the process conditions which favor a moreenergetic ion bombardment (i.e. low pressure, low frequency andhigh power) improve the quality of the material, making it moredense and similar to thermal oxide, but after a certain pointthe positive trend with increasing power saturates. As theenergy of the incoming ion increases, a competing effect setsin at the surface: ion induced damage and resputtering.

Finally, the developed technologies were applied for thefabrication of some test and new concept devices for opticalcommunication systems including multimode interference (MMI)-based couplers/splitters, state-of-the-art arrayed waveguidegrating-based multi/ demultiplexers, the first Bragg gratingassisted MMI-based add-drop multiplexer, as well as moreresearch oriented devices such as a Mach-Zehnder switch basedon silica poling and a Photonic Crystal-based coupler.

Keywords:silica-on-silicon technology, PECVD, plasmadeposition, photonic integrated circuits, planar waveguidedevices, UV Bragg gratings, photosensitivity, arrayed waveguidegratings, multimode interference couplers, add-dropmultiplexers.

APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography