Journal articles on the topic 'Photoresist'

To see the other types of publications on this topic, follow the link: Photoresist.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Photoresist.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Hung, Shih Yu, Yu Ting Hung, and Ming Ho Shen. "Tilted Microlens Fabrication Using Nano-Magnetic Particles." Advanced Materials Research 1105 (May 2015): 259–63. http://dx.doi.org/10.4028/www.scientific.net/amr.1105.259.

Full text
Abstract:
Double-layer heterogeneous photoresist method will be used firstly to obtain the round photoresist column with two layers of different photoresists. Since both photoresists are the positive-type, the exposure is only required once. During the thermal reflow processing, the upper photoresist layer (AZ-4620 and nanomagnetic powder mixture) reaches the glass transition temperature, which is transformed from a glassy state into a rubbery state. Since the glass transition temperature of the lower photoresist layer (AZ-5214E) is higher than the temperature of thermal reflow, the lower photoresist layer is still able to maintain its solid state. The lower layer creates a round base during the thermal reflow process, and then subjected to an appropriate magnetic field. The base can not only restrict the bottom shape of the liquid photoresist to a round shape but also prevent the sliding of liquid photoresist during the thermal reflow process, so the tilted microlens array can be obtained. We can vary the strength of magnetic field to control the oblique angle of the tilted microlens.
APA, Harvard, Vancouver, ISO, and other styles
2

Chang, Chun Ming, Ming Hua Shiao, Don Yau Chiang, Chin Tien Yang, Mao Jung Huang, Chung Ta Cheng, and Wen Jeng Hsueh. "Submicron Patterns on Sapphire Substrate Produced by Dual Layer Photoresist Complimentary Lithography." Applied Mechanics and Materials 284-287 (January 2013): 334–41. http://dx.doi.org/10.4028/www.scientific.net/amm.284-287.334.

Full text
Abstract:
In this study, the combined technologies of dual-layer photoresist complimentary lithography (DPCL), inductively coupled plasma-reactive ion etching (ICP-RIE) and laser direct-write lithography (LDL) are applied to produce the submicron patterns on sapphire substrates. The inorganic photoresist has almost no resistance for chlorine containing plasma and aqueous acid etching solution. However, the organic photoresist has high resistance for chlorine containing plasma and aqueous acid etching solution. Moreover, the inorganic photoresist is less etched by oxygen plasma etching process. The organic and inorganic photoresists deposit sequentially into a composite photoresist on a substrate. The DPCL takes advantages of the complementary chemical properties of organic and inorganic photoresists. We fabricated two structures with platform and non-platform structure. The non-platform structure featured structural openings, the top and bottom diameters and the depth are approximately 780 nm, 500 nm and 233 nm, respectively. The platform structure featured structural openings, the top and bottom diameters and the depth are approximately 487 nm, 288 nm and 203 nm, respectively. The precision submicron or nanoscale patterns of large etched area and patterns with high aspect ratio can be quickly produced by this technique. This technology features a low cost but high yield production technology. It has the potential applications in fabrication of micro-/nanostructures and devices for the optoelectronic industry, semiconductor industry and energy industry.
APA, Harvard, Vancouver, ISO, and other styles
3

Suhard, Samuel, Martine Claes, Yann Civale, Philip Nolmans, Deniz Sabuncuoglu Tezcan, and Youssef Travaly. "ESH Friendly Solvent for Stripping Positive and Negative Photoresists in 3D-Wafer Level Packaging and 3D-Stacked IC Applications." Solid State Phenomena 187 (April 2012): 223–26. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.223.

Full text
Abstract:
NMP is a commonly used solvent for removing positive photoresist in 3D applications, especially in electroplating and (micro-) bumping. However, the negative photoresists are more and more preferred in these applications. Unfortunately, NMP is inefficient for negative photoresist and it is not considered in Europe as an ESH solvent anymore. In this paper a comparative study was carried out in order to identify a solvent that is ESH friendly and a one-size-fits-all solution for stripping negative-tone and thick positive-tone photoresist (2-22 μm) for (micro-) bumping, electroplating and TSV etch applications. The study was performed at tool level.
APA, Harvard, Vancouver, ISO, and other styles
4

Sanada, Toshiyuki, Masao Watanabe, Atsushi Hayashida, and Yoichi Isago. "Post Ion-Implant Photoresist Stripping Using Steam and Water: Pre-Treatment in a Steam Atmosphere and Steam-Water Mixed Spray." Solid State Phenomena 145-146 (January 2009): 273–76. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.273.

Full text
Abstract:
It is well-known that ion-implant doses greater than 5E14 atoms/cm2 can create an amorphous carbon-like layer “crust”, and also that this crust is extremely difficult to dissolve with wet chemicals. In practice, a combination of dry plasma ashing and wet chemical removal is used to eliminate the photoresist. In this study, a novel photoresist stripping technique is proposed. We have improved wet vapor photoresist stripping technique [1] using the mixture of high-speed steam flow and purified water droplets. Relatively low pressure clean steam is mixed with purified water in the nozzle, and sprayed on a photoresist coated Si wafer. We have also developed a pre-treatment method in a chamber with keeping both temperature and humidity constant, in order to strip post ion-implanted photoresit. The most significant feature of this proposed technique is that we use water only; hence we are able to strip photoresist without chemicals.
APA, Harvard, Vancouver, ISO, and other styles
5

Chang, Jung-Jung, Jhih-Wei Huang, Chun-Feng Lin, Shun-Wei Liu, and Chih-Hsin Chen. "Enhancing the signal contrast ratio and stability of liquid crystal-based sensors by using fine grids made by photolithography of photoresists." Analyst 146, no. 12 (2021): 3834–40. http://dx.doi.org/10.1039/d1an00332a.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Yeung, Ka-Wai, Yuqing Dong, Ling Chen, Chak-Yin Tang, Wing-Cheung Law, Gary Chi-Pong Tsui, and Daniel S. Engstrøm. "Printability of photo-sensitive nanocomposites using two-photon polymerization." Nanotechnology Reviews 9, no. 1 (May 15, 2020): 418–26. http://dx.doi.org/10.1515/ntrev-2020-0031.

Full text
Abstract:
AbstractTwo-photon polymerization direct laser writing (TPP DLW) is an emerging technology for producing advanced functional devices with complex three-dimensional (3D) micro-structures. Tremendous efforts have been devoted to developing two-photon polymerizable photo-sensitive nanocomposites with tailored properties. Light-induced reconfigurable smart materials such as liquid crystalline elastomers (LCEs) are promising materials. However, due to the difficulties in designing two-photon polymerizable liquid crystal monomer (LCM) nanocomposite photoresists, it is challenging to fabricate true 3D LCE micro-structures. In this paper, we report the preparation of photo-sensitive LCE nanocomposites containing photothermal nanomaterials, including multiwalled carbon nanotubes, graphene oxide and gold nanorods (AuNRs), for TPP DLW. The printability of the LCE nanocomposites is assessed by the fidelity of the micro-structures under different laser writing conditions. DLW of GO/LCM photoresist has shown a vigorous bubble formation. This may be due to the excessive heat generation upon rapid energy absorption of 780 nm laser energy. Compared to pure LCM photoresists, AuNR/LCM photoresists have a lower laser intensity threshold and higher critical laser scanning speed, due to the high absorption of AuNRs at 780 nm, which enhanced the photo-sensitivity of the photoresist. Therefore, a shorter printing time can be achieved for the AuNR/LCM photoresist.
APA, Harvard, Vancouver, ISO, and other styles
7

Monney, Baptiste, Allison E. Hess-Dunning, Paul Gloth, Jeffrey R. Capadona, and Christoph Weder. "Mechanically adaptive implants fabricated with poly(2-hydroxyethyl methacrylate)-based negative photoresists." Journal of Materials Chemistry B 8, no. 30 (2020): 6357–65. http://dx.doi.org/10.1039/d0tb00980f.

Full text
Abstract:
A negative photoresist approach towards physiologically responsive mechanically adaptive polymers that soften upon insertion into the body is reported. The framework relies on photoresists that can be processed into implants having complex shapes.
APA, Harvard, Vancouver, ISO, and other styles
8

Snyder, Randy W., and Stephen J. Fuerniss. "ATR/IR Spectroscopic Method for following Photo-Polymer Curing." Applied Spectroscopy 46, no. 7 (July 1992): 1113–16. http://dx.doi.org/10.1366/0003702924124187.

Full text
Abstract:
A method for examining the photo-induced polymerization of photoresists and solder masks, at the substrate/photopolymer interface, using attenuated total reflectance (ATR) and infrared spectroscopy is discussed. The method is especially useful for dry film photoresists and gives information concerning cure levels affected by exposure dose and photoresist thickness. The photoprocessing of Vacrel® 8100 series solder mask and Riston® 3100 series photoresists from Dupont are used as examples.
APA, Harvard, Vancouver, ISO, and other styles
9

Shi, Genggongwo, Sung Hoon Park, Jeseob Kim, Minji Kim, and Lee Soon Park. "Side-Chain Polyimides as Binder Polymers for Photolithographic Patterning of a Black Pixel Define Layer for Organic Light Emitting Diode." International Journal of Polymer Science 2018 (September 20, 2018): 1–7. http://dx.doi.org/10.1155/2018/3790834.

Full text
Abstract:
A pixel define layer (PDL) in an organic light emitting diode (OLED) is patterned using a photolithographic process before the deposition of organic layers on top of ITO anode. If the patterning of PDL on OLED panels can be achieved using a black photoresist, the patterning of black matrix (BM) on top of PDL patterns can be omitted by reducing the reflection of ambient light from OLED panels. In this study, we synthesized a series of side-chain-type polyimides as binder polymers of black photoresists and investigated the potential of using the black photoresist for the fine patterning of black PDL on OLED panels.
APA, Harvard, Vancouver, ISO, and other styles
10

Wang, Jing Jing, Eugene Shalyt, Chuan Nan Bai, Guang Liang, Michael MacEwan, and Vishal Parekh. "Advanced Monitoring of TMAH Solution." Solid State Phenomena 219 (September 2014): 81–84. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.81.

Full text
Abstract:
Tetramethyl ammonium hydroxide (TMAH) has wide applications in semiconductor industry, including photoresist development, silica etching (especially Sigma etching), and wafer cleaning, etc. One of the critical areas of the photolithography process is the development of unexposed (negative) or exposed (positive) photoresists without pattern distortion. As a metal free, basic aqueous solution, TMAH is the most common positive photoresist developer providing no metal contaminations. The bath composition, especially the concentration of TMAH, is strictly controlled within the process specifications (~2.2 to 2.4 % (w/w)), since it greatly affects the developing rate and contrast [1]. Traditional monitoring of TMAH concentration does not suffice for the modern needs of a sub-20 nm IC fabrication process. Additional critical parameters include dissolved carbonate and proprietary surfactants.
APA, Harvard, Vancouver, ISO, and other styles
11

Tsang, Cornelia, Janet Okada, and Eric Huenger. "Evalulation of Electrodeposited Photoresists for use in the Fabrication of an Optochip Silicon Interposer." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2011, DPC (January 1, 2011): 001555–95. http://dx.doi.org/10.4071/2011dpc-wp13.

Full text
Abstract:
As 3D packaging technology and designs evolve, increasing complexity has been introduced in the fabrication of these devices. The integration of optical devices along with electronic wired elements such as the package platform identified in image sensors is one prime example where the design elements of the structures significantly increase the topography on the surface of the system. This multiplies the degree of difficulty in the lithography solution chosen to facilitate fabrication of these structures. The use of electrodeposited (ED) photoresists is a technology platform that has been used in MEMs, printed circuit boards, backside vias, etc, and can play a significant role in enabling new 3D packaging solutions. In this research, the successful fabrication of an Optochip silicon interposer, which integrates electrical and optical components onto a single substrate with high density interconnection, was enabled through use of electrodeposited (ED) photoresist. The Optochip interposer was manufactured in a standard 200 mm semiconductor fab and this precipitated the process integration requirement of first etching “optical vias” into the silicon at wafer-level prior to the final lithography steps. As such, challenging topography was introduced into the system. A resist solution able to address the following conditions was required: 1) sufficient conformal coating into large optical vias measuring 150 um diameter by 200 um depth, 2) no resist pull-back over sharp 90 degree angle corners where the optical vias met the wafer surface, 3) ability to resolve 30 um diameter surface pads at 50 um pitch and 4) chemical resistance to Ni and cyanide-based Au plating baths. This presentation will discuss how various photoresists were examined that resulted in ED photoresist being chosen for the aforementioned application. Both negative-tone and positive-tone ED photoresists were considered. Experiments to study process parameters and environmental factors on product yield were performed using test wafers with optical vias. These experiments resulted in positive-tone ED photoresist being selected. Test wafers plated with NiAu resulted in ~ 90% process yield. The presentation will conclude by demonstrating the ability to achieve good yield on integrated product wafers.
APA, Harvard, Vancouver, ISO, and other styles
12

Shi, Li Li, Bei Chen, Zai Fa Zhou, and Qing An Huang. "Fast Marching Simulation of Two Dimensional Lithography Process of Thick Photoresists." Advanced Materials Research 403-408 (November 2011): 156–60. http://dx.doi.org/10.4028/www.scientific.net/amr.403-408.156.

Full text
Abstract:
Fast marching method is an accurate, extremely fast numerical technique in analyzing and computing moving fronts which can develop sharp corners and change topology. We successfully accomplish this method in two dimensions and the two-dimensional lithography process simulation of SU 8 photoresists has been implemented. The obtained results indicate that the fast marching method can actually accelerate the simulation and be used as an effective method for thick photoresist lithography process simulations.
APA, Harvard, Vancouver, ISO, and other styles
13

Ueno, Hidetaka, Katsuya Maruo, Masatoshi Inoue, Hidetoshi Kotera, and Takaaki Suzuki. "Cell Culture on Low-Fluorescence and High-Resolution Photoresist." Micromachines 11, no. 6 (June 4, 2020): 571. http://dx.doi.org/10.3390/mi11060571.

Full text
Abstract:
2D and 3D topographic cues made of photoresist, a polymer, are used for cell culture and cell analysis. Photoresists used for cell analysis provide the surface conditions necessary for proper cell growth, along with patterning properties of a wide range and high precision, and low auto-fluorescence that does not affect fluorescence imaging. In this study, we developed a thick negative photoresist SJI-001 possessing the aforementioned properties. We evaluated the surface conditions of SJI-001 affecting cell culture. First, we studied the wettability of SJI-001, which was changed by plasma treatment, conducted as a pretreatment on a plastic substrate before cell seeding. SJI-001 was more chemically stable than SU-8 used for fabricating the micro-electromechanical systems (MEMS). Furthermore, the doubling time and adhesion rate of adherent HeLa cells cultured on untreated SJI-001 were 25.2 h and 74%, respectively, thus indicating its suitability for cell culture over SU-8. In addition, we fabricated a cell culture plate with a 3D lattice structure, three micrometers in size, using SJI-001. HeLa cells seeded on this plate remained attached over five days. Therefore, SJI-001 exhibits surface conditions suitable for cell culture and has several bioapplications including microstructures and cell chips for cell culture and cell analysis.
APA, Harvard, Vancouver, ISO, and other styles
14

Li, Qi Chang, Guang Long Wang, Jiang Lei Lu, Feng Qi Gao, and Shan Shan Zhang. "Impact of Temperature on Thick Photoresist Lithography Process of Glass Microfluidic Chip." Advanced Materials Research 538-541 (June 2012): 2273–76. http://dx.doi.org/10.4028/www.scientific.net/amr.538-541.2273.

Full text
Abstract:
The positive photoresist AZ4620 was used for thick photoresist lithography of glass microfluidic chip. Different test temperature of soft baking, post exposure baking, hard baking, impacted the surface quality of photoresist layer, the adhesion of photoresist and glass matrix, the photoresist tolerance time, the etching rate and the maximum etching depth. The results show that the soft-bake temperature of 80°C improves the adhesion of the photoresist and the glass substrate well; PEB (post exposure bake) temperature of 60~70°Chelps to the quality of graphics after development; hard bake temperature of 80~90°Ccan conducive to a larger etching depth; through the controlling of heating temperature and mixing rate, it effectively improves the etching rate, increases the etching depth as well. It has been applied to the fast and high-volume production of a certain microfluidic detection chips.
APA, Harvard, Vancouver, ISO, and other styles
15

DeKraker, David, Blake Pasker, Jeffery W. Butterbaugh, Kurt K. Christenson, and Thomas J. Wagener. "Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist." Solid State Phenomena 145-146 (January 2009): 277–80. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.277.

Full text
Abstract:
Photoresist stripping in IC manufacturing has become more challenging as the number of photoresist levels has increased while at the same time allowable material loss and surface damage has decreased. Heavily implanted photoresist is especially challenging due to the dehydrogenated, amorphous carbon layer that forms on the surface [1]. To facilitate implanted photoresist removal, this layer can be attacked by physical processes such as ion bombardment as part of the common dry ashing approach. However, these physical approaches can lead to surface damage and increased material loss. Another approach is to increase the reactivity of the sulfuric acid – hydrogen peroxide mixture (SPM), so that it can penetrate and dissolve the amorphous carbon layer and achieve complete photoresist removal.
APA, Harvard, Vancouver, ISO, and other styles
16

Li, Meng-Hua, and Shen-Tsu Wang. "Using the Taguchi-Genetic Algorithm to Improve Lithographic Photoresist Operating Conditions of Touch Panels to Upgrade After-Develop Inspection." Applied Sciences 8, no. 12 (November 25, 2018): 2382. http://dx.doi.org/10.3390/app8122382.

Full text
Abstract:
In order to use touch control products more conveniently, a general objective is to develop lighter and smaller touch panels. A touch panel using the one glass solution (OGS) is an important development. The black matrix (BM) in an OGS touch panel is used as a black frame. The photoresist is divided into a positive photoresist and a negative photoresist. The BM photoresist is negative. After coating, exposure, and development in the BM process, after-develop inspection is implemented to check if the appearance is abnormal. It is quite difficult to rework the negative photoresist process. There is still room for improving the BM photoresist process capability Cpk. Thus, in order to reduce the customer complaint rate and enhance stability, the photolithography process is improved to enhance Cpk. Among the BM black negative photoresist forming process conditions of OGS products, the pre-baking time is the most important process control factor. The method set up herein improves the original Cpk = 0.90. This study employs the fast messy genetic algorithm (fmGA) to select the optimum orthogonal array of the Taguchi method, so as to implement the decision process of optimum parameter design. The Cpk of the optimum parameter is 2.12.
APA, Harvard, Vancouver, ISO, and other styles
17

Mehdi Aghaei, Sadegh, Navid Yasrebi, and Bizhan Rashidian. "Characterization of Line Nanopatterns on Positive Photoresist Produced by Scanning Near-Field Optical Microscope." Journal of Nanomaterials 2015 (2015): 1–7. http://dx.doi.org/10.1155/2015/936876.

Full text
Abstract:
Line nanopatterns are produced on the positive photoresist by scanning near-field optical microscope (SNOM). A laser diode with a wavelength of 450 nm and a power of 250 mW as the light source and an aluminum coated nanoprobe with a 70 nm aperture at the tip apex have been employed. A neutral density filter has been used to control the exposure power of the photoresist. It is found that the changes induced by light in the photoresist can be detected byin situshear force microscopy (ShFM), before the development of the photoresist. Scanning electron microscope (SEM) images of the developed photoresist have been used to optimize the scanning speed and the power required for exposure, in order to minimize the final line width. It is shown that nanometric lines with a minimum width of 33 nm can be achieved with a scanning speed of 75 µm/s and a laser power of 113 mW. It is also revealed that the overexposure of the photoresist by continuous wave laser generated heat can be prevented by means of proper photoresist selection. In addition, the effects of multiple exposures of nanopatterns on their width and depth are investigated.
APA, Harvard, Vancouver, ISO, and other styles
18

Sugita, Hikaru, Kei Tanaka, Kaori Shirato, Ryota Yamamoto, and Kazuko Tateshima. "Styryl silsesquioxane photoresist." Journal of Applied Polymer Science 132, no. 7 (September 24, 2014): n/a. http://dx.doi.org/10.1002/app.41459.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Harita, Yoshiyuki. "Photoresist for Semiconductor." Kobunshi 41, no. 7 (1992): 488–91. http://dx.doi.org/10.1295/kobunshi.41.488.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Blanco, M., J. Hightower, M. Cagan, and K. Monahan. "Comparison of Standard Photoresist and Contrast‐Enhanced Photoresist Process Sensitivities." Journal of The Electrochemical Society 134, no. 11 (November 1, 1987): 2882–88. http://dx.doi.org/10.1149/1.2100307.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Oh, Eunseok, and Sang Woo Lim. "Ion Implanted Photoresist Removal by Material Loss-Free Organic Solvent." Solid State Phenomena 282 (August 2018): 52–56. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.52.

Full text
Abstract:
Removal of highly ion-implanted photoresist on the trench-structured GaAs was conducted by mixtures of organic solvents with additives. The ion implanted KrF photoresist on trench-structured GaAs was completely removed at 30 °C when an additive was added to the DMSO+ acetonitrile (AcN) solution. In addition, the removal rate of the implanted photoresist could be increased in DMSO+AcN+additive solution. It was also observed that the DMSO+AcN+additive solution did not cause significant material loss on the GaAs surface during the photoresist removal process.
APA, Harvard, Vancouver, ISO, and other styles
22

Foucaud, Mathieu, Névine Rochat, Philippe Garnier, Erwine Pargon, and Raluca Tiron. "Study of Etchants’ Diffusion into a 248 nm Deep UV Photoresist during a Wet Etch." Solid State Phenomena 219 (September 2014): 183–86. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.183.

Full text
Abstract:
Chemical etching is still preferred to plasma etching in numerous integrated circuits manufacturing steps. Indeed, it enables a better surface smoothness control, which is critical to obtain sufficient carrier mobility. During these steps, photoresist patterns protect underlying materials from etching. It is therefore mandatory to: 1) guarantee photoresist adhesion and keep patterns from being etched away; and 2) prevent surface degradation from etchants penetration down to the photoresist / material interface. To avoid this latter phenomenon, it is therefore crucial to know if etchants penetrate into the photoresist, and at which diffusion rate.
APA, Harvard, Vancouver, ISO, and other styles
23

Balan, N. N., V. V. Ivanov, A. V. Kuzovkov, E. V. Sokolova, and E. S. Shamin. "Basic approaches to photoresist mask formation modeling in computational lithography." Izvestiya Vysshikh Uchebnykh Zavedenii. Materialy Elektronnoi Tekhniki = Materials of Electronics Engineering 22, no. 4 (February 4, 2020): 279–89. http://dx.doi.org/10.17073/1609-3577-2019-4-279-289.

Full text
Abstract:
The article gives an overview of the main currently used models for the formation of photoresist masks and the problems in which they are applied. The main stages of «full physical» modeling of mask formation are briefly considered in the case of both traditional DNQ photoresists and CA photoresists. The concept of compact models (VT5 and CM1), which predict the contour of the resist mask for a full-sized device topology is considered. Examples of some calculations using both full physical modeling and compact models are given. Using a full physical modeling of the resist mask formation the lithographic stack was optimized for a promising technological process. The optimum thickness ratios for the binary BARC used in the water immersion lithographic process are found. The problem of determining the optimal number of calibration structures that maximally cover the space of aerial image parameters was solved. To solve this problem, cluster analysis was used. Clustering was carried out using the k-means method. The optimal sample size was from 300 to 350 structures, the mean square error in this case is 1.4 nm, which slightly exceeds the noise of the process for 100 nm structures. Using SEM images for calibrating the VT5 model allows reducing the standard error of 40 structures to 1.18 nm.
APA, Harvard, Vancouver, ISO, and other styles
24

KALAISELVI, S. M. P., T. L. TAN, R. S. RAWAT, P. LEE, S. P. HEUSSLER, and M. B. H. BREESE. "FTIR SPECTROSCOPIC STUDIES ON CROSS LINKING OF SU-8 PHOTORESIST." COSMOS 09, no. 01 (December 2013): 37–46. http://dx.doi.org/10.1142/s021960771350002x.

Full text
Abstract:
The usage of chemically-amplified, negative tone SU-8 photoresist is numerous, spanning industrial, scientific and medical fields. Hence, in this study, some preliminary studies were conducted to understand the dosage and heat treatment requirements of the SU-8 photoresist essential for pattern generation using X-ray lithography. In this work, using Synchrotron as the X-ray source, SU-8 photoresist was characterized for X-ray lithography in terms of its process parameters such as X-ray exposure dose, post exposure bake (PEB) time and temperature for various photoresist thicknesses which is considered worthwhile in view of applications of SU-8 for the fabrication of very high aspect ratio micro structures. The process parameters were varied and the resultant cross linking of the molecular chains of the photoresist was accurately monitored using a Fourier Transform Infra-Red (FTIR) spectrometer and the results are discussed. The infrared absorption peak at 914 cm-1 in the spectrum of the SU-8 photoresist was found to be a useful indicator for the completion of cross linking in the SU-8 photoresist. Results show that the cross linking of the SU-8 photoresist is at a higher rate from 0 J/cm3 to 30 J/cm3 after which the peak almost saturates regardless of the PEB time. It is a good evidence for the validation of dosage requirement of SU-8 photoresist for effective completion of cross linking, which in turn is a requirement for efficient fabrication of micro and nano structures. An analogous behavior was also observed between the extent of cross linking and the PEB time and temperature. The rate of cross linking declines after a certain period of PEB time regardless of PEB temperature. The obtained results also show a definite relation between variation of the absorbance area of the peak at 914 cm-1 and the X-ray exposure dose.
APA, Harvard, Vancouver, ISO, and other styles
25

Supadee, Laddawan, Santi Chatruprachewin, and Wisut Titiroongruang. "Environmental Treatment for Perfect Spray Photoresist Morphology and Analysis." Advanced Materials Research 802 (September 2013): 42–46. http://dx.doi.org/10.4028/www.scientific.net/amr.802.42.

Full text
Abstract:
A homogeneous photoresist for IC or read-write data storage head fabrication requires a certain flowing of the resist film on the topography surface for at least few micrometers, thus defining certain minimal resist viscosity for the remaining solvent concentration for spraying technique. The high photoresist solvent evaporates during flight (between spray nozzle and substrate) prevents the droplets from sticking to the substrate and causes a rough surface. The limitation of very low photoresist viscosity for micro droplets spraying is a drawback of the technique. Because of very low viscosity of spray coating resist, nitrogen in spray coating system will act as 2 functions. First is for spread photoresist to be micro droplets. In the same time nitrogen can dry the small droplet before locating to substrate and perform obstacle for UV exposure. The unsatisfied resist was protected UV light during exposure cause pattern deformation. The study was investigated the particles which always found on finished photoresist surface spraying, and aimed to find the solution to decrease or eliminate dry droplet. EDX identified element of the obstacle particles is photoresist. They are dry resist ball about 1 – 6 um size. The selected chemicals for the investigation to dilute or dissolve the resist ball, IPA, acetone and mixing solution between 70% IPA and 30% acetone. Acetone is most effective. The proper time for dissolve dry resist ball with slight photoresist degradation is 40 s, very short because of high vapor pressure of acetone at room temperature. There is a trade-off between resist surface smoothness and reflow characteristics. Final resist thickness with the acetone vaporization condition will be achieved 7.5 um as expectation with less corner coverage problem. SEM and AFM images were confirmed that morphology after acetone vapor exposure was improved. Surface roughness was reduced for 3 times to 8 nm with acetone vaporization environment. The end of this study was include on corrosion characterization for magnetic CoFe alloys underlying photoresist to explore the method to determine photoresist type in future manufacturing which necessary to run the process with sensitive material. With AZ4999 spray photoresist protection indicated corrosion rate of CoFe films was reduced for 100 times compare to bare films.
APA, Harvard, Vancouver, ISO, and other styles
26

Malhouitre, Stéphane, Rita Vos, Souvik Banerjee, Paul Cheng, Twan Bearda, and Paul W. Mertens. "Stripping of Ion Implanted Photoresist by CO2 Cryogenic Pre-Treatment Followed by Wet Cleaning." Solid State Phenomena 145-146 (January 2009): 289–92. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.289.

Full text
Abstract:
In FEOL processing, doping of active areas like source, drain, and extensions (NMOS and PMOS) is done by ion implantation. Un-doped regions are covered with photoresist to protect them from implantation. Ion implantation modifies the surface of the photoresist to generate a dehydrogenated amorphous carbon layer, the crust [1]. When the implant conditions are more aggressive (higher implant energy and implant dose), the hard crust becomes more and more challenging to be removed [2]. Conventionally, a plasma ashing process followed by a wet cleaning, typically SPM (Sulfuric acid/Hydrogen peroxide mixture) chemistry, can remove the implanted photoresist, but usually leads to damage and strong oxidation of the underlying semiconductor material and hence result in material or dopant loss. As the technology node migrates beyond 45nm, the photoresist removal process should also be compatible with novel materials such as high-k dielectric and metal-gate used in advanced gate stack integration. For these reasons, it is desirable to eliminate the plasma ash and SPM clean chemistry. Wet only PR removal process is studied using new chemistries like solvents that are compatible with the other FEOL process steps, however, the photoresist removal using solvents only still showed lower removal efficiency than conventional processes. It has been demonstrated that the CO2 cryogenic pre-treatment can improve the ion implanted photoresist stripping efficiency of the wet cleaning processes [3], and can also enhance the photoresist removal efficiency by the solvents.
APA, Harvard, Vancouver, ISO, and other styles
27

Bell, K., S. Dixit, R. Kautz, T. Koes, R. Lazarus, and G. Talor. "Reduced photoresist scumming tendencies through the optimization of photoresist formulation parameters." Microelectronic Engineering 21, no. 1-4 (April 1993): 279–82. http://dx.doi.org/10.1016/0167-9317(93)90073-e.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Yu, Qian, Zai Fa Zhou, and Heng Zhang. "Simulations and Analysis of the Moving Mask UV Lithography for Thick-Photoresist." Applied Mechanics and Materials 455 (November 2013): 88–93. http://dx.doi.org/10.4028/www.scientific.net/amm.455.88.

Full text
Abstract:
A three-dimensional (3D) simulation system is developed for the moving mask UV lithography of thick photoresist. The exposure simulation model is obtained with consideration of the mask moving function, the refraction and energy loss of the UV light at the surface of photoresist, and the reflection at the photoresist/substrate interface. The development model is calculated by the fast marching method. In addition, the energy deposition distributions and the three-dimensional development profiles are presented under different conditions to study the effect of various parameters and to verify the accuracy by experiment. The results will be useful to understand the effects and to control the exposure conditions in the design process of moving mask UV lithography for thick-photoresist in the future.
APA, Harvard, Vancouver, ISO, and other styles
29

Kwon, Sangjin, Youngmo Jeong, and Sungho Jeong. "Characterization of Uniformity and Reproducibility of Photoresist Nanomasks Fabricated by Near-Field Scanning Optical Nanolithography." Journal of Nanoscience and Nanotechnology 6, no. 11 (November 1, 2006): 3647–51. http://dx.doi.org/10.1166/jnn.2006.074.

Full text
Abstract:
The uniformity and reproducibility of the photoresist nanopatterns fabricated using near-field scanning optical nanolithography (NSOL) are investigated. The nanopatterns could be used as nanomasks for pattern transfer on a silicon wafer. In the NSOL process, uniform patterning with high reproducibility is essential for reliable transfer of the mask patterns on a silicon substrate. Using an aperture type cantilever nanoprobe operated at contact mode and a positive photoresist, various nanopatterns are produced on thin photoresist layer coated on the silicon substrate. The size and shape variations of thereby produced patterns are investigated using atomic force microscope to determine their uniformity and reproducibility. It is demonstrated that the NSOL-produced photoresist nanomasks can be successfully applied for silicon pattern transfer by fabricating a silicon nanochannel array.
APA, Harvard, Vancouver, ISO, and other styles
30

Yang, Xing, Zhili Chen, Xinwu Xie, Xinxi Xu, Wei Xiong, Weihua Li, and Shuqing Li. "Enhanced Response Speed of ZnO Nanowire Photodetector by Coating with Photoresist." Journal of Nanomaterials 2016 (2016): 1–6. http://dx.doi.org/10.1155/2016/1367095.

Full text
Abstract:
Spin-coating photoresist film on ZnO nanowire (NW) was introduced into the fabrication procedure to improve photoresponse and recovery speed of a ZnO NW ultraviolet photoelectric detector. A ZnO NW was first assembled on prefabricated electrodes by dielectrophoresis. Then, photoresist was spin-coated on the nanowire. Finally, a metal layer was electrodeposited on the nanowire-electrode contacts. The response properties andI-Vcharacteristics of ZnO NW photodetector were investigated by measuring the electrical current under different conditions. Measurement results demonstrated that the detector has an enhanced photoresponse and recovery speed after coating the nanowire with photoresist. The photoresponse and recovery characteristics of detectors with and without spin-coating were compared to demonstrate the effects of photoresist and the enhancement of response and recovery speed of the photodetector is ascribed to the reduced surface absorbed oxygen molecules and binding effect on the residual oxygen molecules after photoresist spin-coating. The results demonstrated that surface coating may be an effective and simple way to improve the response speed of the photoelectric device.
APA, Harvard, Vancouver, ISO, and other styles
31

Cheng, E., Suzhou Tang, Helin Zou, Zhengyan Zhang, and Yao Wang. "Fabrication of nano-patterns of photoresist by ultraviolet lithography and oxygen plasma." Journal of Electrical Engineering 71, no. 5 (September 1, 2020): 359–64. http://dx.doi.org/10.2478/jee-2020-0049.

Full text
Abstract:
Abstract Nanofluidic devices with two-dimensional nanochannels have many applications in biology and chemistry, however, it is still a challenge to develop a low-cost and simple method for fabricating nano-masks that can be used to produce two-dimensional nanochannels. In this paper, a novel low-cost and simple method, based on UV lithography and oxygen plasma, was proposed to fabricate nano-mask. The influence of exposure time on the photoresist mesas was investigated in the ultraviolet lithography process. The parameters of RF power and treatment time on the width reduction of photoresist mesas were analyzed by the oxygen plasma. In our work, in order to increase the efficiency controllability of photoresist removal, a RF power of 90 W, a pressure of oxygen plasma 60 Pa, and the time division method were adopted to remove photoresist by oxygen plasma. Finally, nano-patterns of photoresist mesas with bottom width of 330 nm were successfully fabricated. The proposed method provides a low-cost way to produce high-throughput two-dimensional nanochannels.
APA, Harvard, Vancouver, ISO, and other styles
32

SATO, Tadaaki, and Toshio KONDO. "Photoresist by electrodeposition coating." Journal of the Surface Finishing Society of Japan 40, no. 1 (1989): 34–35. http://dx.doi.org/10.4139/sfj.40.34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Ojima, Senri, Takayuki Jizaimaru, Shunkiti Omae, and Tadahiro Ohmi. "Room Temperature Photoresist Stripper." Journal of The Electrochemical Society 144, no. 11 (November 1, 1997): 4005–18. http://dx.doi.org/10.1149/1.1838127.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Kuo, Yue. "Plasma Swelling of Photoresist." Japanese Journal of Applied Physics 32, Part 2, No.1A/B (January 15, 1993): L126—L128. http://dx.doi.org/10.1143/jjap.32.l126.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Hansen, Steven G. "Photoresist and stochastic modeling." Journal of Micro/Nanolithography, MEMS, and MOEMS 17, no. 01 (March 14, 2018): 1. http://dx.doi.org/10.1117/1.jmm.17.1.013506.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Hunek, Balazs, and E. L. Cussler. "Mechanisms of photoresist dissolution." AIChE Journal 48, no. 4 (April 2002): 661–72. http://dx.doi.org/10.1002/aic.690480403.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Takahashi, Hiroaki. "Plasma-Less Photoresist Stripping." ECS Transactions 11, no. 2 (December 19, 2019): 189–95. http://dx.doi.org/10.1149/1.2779378.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Percin, G., and B. T. Khuri-Yakub. "Photoresist deposition without spinning." IEEE Transactions on Semiconductor Manufacturing 16, no. 3 (August 2003): 452–59. http://dx.doi.org/10.1109/tsm.2003.815197.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Demirci, Utkan. "Droplet-based photoresist deposition." Applied Physics Letters 88, no. 14 (April 3, 2006): 144104. http://dx.doi.org/10.1063/1.2191087.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Hauptman, Nina, Maša Žveglič, Marijan Maček, and Marta Klanjšek Gunde. "Carbon based conductive photoresist." Journal of Materials Science 44, no. 17 (September 2009): 4625–32. http://dx.doi.org/10.1007/s10853-009-3706-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Lin, Guanghui, Fang Zhang, Qi Zhang, Jie Wei, and Jinbao Guo. "Fluorinated silsesquioxane-based photoresist as an ideal high-performance material for ultraviolet nanoimprinting." RSC Adv. 4, no. 83 (2014): 44073–81. http://dx.doi.org/10.1039/c4ra06022a.

Full text
Abstract:
A new kind of fluorinated silsesquioxane-based photoresist has been demonstrated for ultraviolet nanoimprint lithography (UV-NIL), which opens up a way to utilize simple but multi-functional component materials for developing photoresist with superior performance.
APA, Harvard, Vancouver, ISO, and other styles
42

Yin, Cong, Liang He, Yunfei Wang, Zehua Liu, Guobin Zhang, Kangning Zhao, Chunjuan Tang, Mengyu Yan, Yulai Han, and Liqiang Mai. "Pyrolyzed carbon with embedded NiO/Ni nanospheres for applications in microelectrodes." RSC Advances 6, no. 49 (2016): 43436–41. http://dx.doi.org/10.1039/c6ra06864b.

Full text
Abstract:
Photoresist, a frequently used material in existing microfabrication processes, can be utilized in carbon micro electro mechanical system (C-MEMS) since the patterned carbon micro/nano structures can be formed by pyrolysis of a patterned photoresist.
APA, Harvard, Vancouver, ISO, and other styles
43

Rauf, Shahid, Phillip J. Stout, and Jonathan Cobb. "Modeling the impact of photoresist trim etch process on photoresist surface roughness." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 21, no. 2 (2003): 655. http://dx.doi.org/10.1116/1.1545735.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Mayer, Frederik, Stefan Richter, Johann Westhauser, Eva Blasco, Christopher Barner-Kowollik, and Martin Wegener. "Multimaterial 3D laser microprinting using an integrated microfluidic system." Science Advances 5, no. 2 (February 2019): eaau9160. http://dx.doi.org/10.1126/sciadv.aau9160.

Full text
Abstract:
Three-dimensional (3D) laser micro- and nanoprinting has become a versatile, reliable, and commercially available technology for the preparation of complex 3D architectures for diverse applications. However, the vast majority of structures published so far have been composed of only a single constituent material. Here, we present a system based on a microfluidic chamber integrated into a state-of-the-art laser lithography apparatus. This system is scalable in terms of the number of materials and eliminates the need to go back and forth between the lithography instrument and the chemistry room numerous times, with tedious realignment steps in between. As an application, we present 3D deterministic microstructured security features requiring seven different liquids: a nonfluorescent photoresist as backbone, two photoresists containing different fluorescent quantum dots, two photoresists with different fluorescent dyes, and two developers. Our integrated microfluidic 3D printing system opens the door to truly multimaterial 3D additive manufacturing on the micro- and nanoscale.
APA, Harvard, Vancouver, ISO, and other styles
45

Chou, Ta-Hsin, Wen-Hsien Yang, Kuei-Yuan Cheng, Yu-Chen Chang, and Thomas Luo. "The Simulation and Inspection for the Starting Phenomenon of Slit Coating Process on Glass Substrate." International Journal of Automation Technology 5, no. 2 (March 5, 2011): 190–94. http://dx.doi.org/10.20965/ijat.2011.p0190.

Full text
Abstract:
We developed a two-dimensional Computational Fluid Dynamics (CFD) model of a slit nozzle and coating for photoresist, using the Volume Of Fluid (VOF) to calculate the transient free surface. Parameters studied in simulation, including contact angle, liquid feed rate, slit gap, and coating gap. Simulation results yielded information on operation and buildup conditions, enabling us to examine microscopic fluid flows. Experiments used a slit coater (ITRI) having a slit nozzle 370 mm wide and a 100 µm slit gap, and set on a vertical moving table. A glass substrate was fixed on a horizontal moving table by a vacuum pump. Photoresist is pumped from a tank to the slit nozzle by a plunger. During coating, a CCD camera facing the slit gap imaged coating conditions. Experimental parameters studied included substrate speed, coating gap, and photoresist feed rate. The substrate was operated at 10 to 20 mm/second, the coating gap was set at 100 µm, and the photoresist feed rate was from 0.4 to 0.8 ml. Simulation and experimental results confirmed that CFD simulation was possible in designing the slit nozzle and preverifying coating. Photoresist coating was possible below 1.5 µm thick with 5%uniformity.
APA, Harvard, Vancouver, ISO, and other styles
46

Hwang, Sung-Ki, Sang-Hoon Baek, Jin-Hyuk Kwon, and Yi-Soon Park. "Fabrication of Microlens Array Using Photoresist Thermal Reflow." Hankook Kwanghak Hoeji 20, no. 2 (April 25, 2009): 118–22. http://dx.doi.org/10.3807/hkh.2009.20.2.118.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Humayun, Q., and U. Hashim. "Parametric Study and Thickness Evaluation of Photoresist Development for the Formation of Microgap Electrodes Using Surface Nanoprofiler." Advanced Materials Research 626 (December 2012): 942–47. http://dx.doi.org/10.4028/www.scientific.net/amr.626.942.

Full text
Abstract:
A compact nanolaboratory on single chip is one of the challenging tasks for future reproductively of sensitive and selective lab-on-chip. This paper reports a simple and controllable technique for patterning microgap structures on (PR-1 2000A) positive photoresist. For the pattern transformation conventional lithography technique was used integrated with precise resolution mask namely chrome mask. This technique provides an especially simple method for the formation of micro features sizes of gaps onto the photoresist. The thickness of developed microgap structures on photoresist directly relates with the coating speed of spin coater.
APA, Harvard, Vancouver, ISO, and other styles
48

Kapon, Omree, Merav Muallem, Alex Palatnik, Hagit Aviv, and Yaakov R. Tischler. "Low Cost Method for Generating Periodic Nanostructures by Interference Lithography Without the Use of an Anti-Reflection Coating." MRS Advances 2, no. 17 (2017): 927–32. http://dx.doi.org/10.1557/adv.2017.121.

Full text
Abstract:
ABSTRACTInterference lithography has proven to be a useful technique for generating periodic sub-diffraction limited nanostructures. Interference lithography can be implemented by exposing a photoresist polymer to laser light using a two-beam arrangement or a one-beam configuration based on a Lloyd’s Mirror Interferometer. For typical photoresist layers, an anti-reflection coating must be deposited on the substrate to prevent adverse reflections from cancelling the holographic pattern of the interfering beams. For silicon substrates, such coatings are typically multilayered and complex in composition. By thinning the photoresist layer to a thickness well below the quarter wavelength of the exposing beam, we demonstrate that interference gratings can be generated without an anti-reflection coating on the substrate. We used ammonium dichromate doped polyvinyl alcohol as the positive photoresist because it provides excellent pinhole free layers down to thicknesses of 40 nm, and can be cross-linked by a low-cost single mode 457 nm laser and etched in water. Gratings with a period of 320 nm and depth of 4 nm were realized, as well as a variety of morphologies depending on the photoresist thickness. This simplified interference lithography technique promises to be useful for generating periodic nanostructures with high fidelity and minimal substrate treatments.
APA, Harvard, Vancouver, ISO, and other styles
49

Westerwelle, U., G. Bähr, G. Grützner, and F. Reuther. "Partially carboxymethylated novolaks for photoresist systems: New photoresists for development under mildly alkaline conditions." Microelectronic Engineering 41-42 (March 1998): 343–46. http://dx.doi.org/10.1016/s0167-9317(98)00079-3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Aoki, Toyohiro, Kazushige Toriyama, Hiroyuki Mori, Yasumitsu Orii, Jae-Woong Nah, Seiichirou Takahashi, Jun Mukawa, Kouichi Hasegawa, Shiro Kusumoto, and Katsumi Inomata. "IMS (Injection Molded Solder) Technology with Liquid Photoresist for Ultra Fine Pitch Bumping." International Symposium on Microelectronics 2014, no. 1 (October 1, 2014): 000713–17. http://dx.doi.org/10.4071/isom-wp42.

Full text
Abstract:
IMS (injection molded solder) is an advanced solder bumping technology with solder alloy flexibility even at very fine pitch and small size. One of key materials for successful fine pitch bumping by IMS is a photoresist material. The photoresist material must be stable at high temperature during the IMS process and be perfectly stripped after the IMS process without any residue on the surface of the substrate. In this study, negative tone liquid photoresist materials were prepared to investigate effects of thermal cure of photoresist on IMS process and stripping performance. With appropriate cure conditions, successful bumping without any film damages at IMS process and any residue at stripping was achieved. Fine pitch bumping down to 40 μm pitch with 20 μm diameter was demonstrated with a Sn-3.0Ag-0.5Cu solder. Also physical and electrical connections for the solder joints of IMS bumps to Ni/Au pads were confirmed using a 80 μm pitch test vehicle.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography