Dissertations / Theses on the topic 'Photoresist'

To see the other types of publications on this topic, follow the link: Photoresist.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Photoresist.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Cheshmehkani, Ameneh. "Design and synthesis of molecular resists for high resolution patterning performance." Thesis, Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/50286.

Full text
Abstract:
In this thesis, different approaches in synthesizing molecular resist are examined, and structure-property relations for the molecular resist properties are studied. This allows for design of resists that could be studied further as either negative or positive tone resists in photolithography. A series of compounds having different number of acrylate moiety, and different backbones were investigated for photoresist application. Thermal curing of acrylate compounds in organic solvent was also examined. Film shrinkage, as well as auto-polymerization was observed for these compounds that make them unsuitable as photoresist material. Furthermore, calix[4]resorcinarenes (C4MR) was chosen as backbone, and the functional groups was selected as oxetane and epoxy. Full functionalized C4MR compounds with oxetane, epoxy and allyl were synthesized. Variable-temperature NMR of C4MR-8Allyl was studied in order to get a better understanding of the structure’s conformers. Energy barrier of exchange (ΔG#) was determined from coalescence temperatures, and was 57.4 KJ/mol for aromatic and vinyl hydrogens and 62.1 KJ/mol for allylic hydrogens.
APA, Harvard, Vancouver, ISO, and other styles
2

Barkschat, Axel. "Bildgebende elektrochemische Untersuchungen an Grenzflächen mit metallzentrierten Elektronenübertragungen." [S.l.] : [s.n.], 2004. http://www.diss.fu-berlin.de/2004/124/index.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Chao, Adam (Adam C. ). "Salty development of an optical photoresist." Thesis, Massachusetts Institute of Technology, 2008. http://hdl.handle.net/1721.1/44823.

Full text
Abstract:
Thesis (S.B.)--Massachusetts Institute of Technology, Dept. of Physics, 2008.
Includes bibliographical references (leaf 26).
In this series of experiments, we add salt to a photoresist developer and observe the effect on photoresist contrast. In order to measure contrast, we designed an anti-reflection coating stack to reduce reflections between the photomask and the photoresist. After development, we observe that for 400 nm exposures of photoresist PS4 that there is no significant change in contrast with salty development, however, for samples exposed at 220 nm, there is contrast enhancement. However, it is not clear how much of the contrast enhancement for the 220 nm samples was due to the shorter wavelength, and how much was due to a different developer concentration versus the 400 nm samples. That being said, we hypothesize that the observed contrast enhancement is due to differences in photoresist cross-linking due to the different wavelength exposures.
by Adam Chao.
S.B.
APA, Harvard, Vancouver, ISO, and other styles
4

Gardiner, Allen Brooke. "Measurement of concentration gradients in photoresist films and study of the influence of these gradients on photoresist performance /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Henderson, Clifford Lee. "Advances in photoresist characterization and lithography simulation /." Digital version accessible at:, 1998. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Yamada, Shintaro. "Design and study of advanced photoresist materials : positive tone photoresists with reduced environmental impact and materials for 157 nm lithography /." Full text (PDF) from UMI/Dissertation Abstracts International, 2000. http://wwwlib.umi.com/cr/utexas/fullcit?p3004404.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Daly, Daniel John. "The fabrication and measurement of melted photoresist microlenses." Thesis, King's College London (University of London), 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.300378.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Cho, Sungseo. "Synthesis of photoresist materials for 193 nm exposure /." Digital version accessible at:, 2000. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Wong, Sean Hang Edmond. "Arsenic Trisulfide Inorganic Photoresist for Three-Dimensional Photolithography." [S.l. : s.n.], 2008. http://digbib.ubka.uni-karlsruhe.de/volltexte/1000009084.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Tomicic, Daniel. "Adhesion measurements of positive photoresist on sputtered aluminium surface." Thesis, Linköping University, Department of Science and Technology, 2002. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-1118.

Full text
Abstract:

This thesis deals with different methods to improve the adhesion between sputtered aluminium and positive photoresist. Factors controlling the adhesion and different ways to measure the adhesion have been investigated. Different surface treatments prior to resist disposition have been investigated as well. The investigated surface treatments and adhesion measurements are compatible with the available equipment and the existing process cycle at Strand Interconnect AB. All tests were made in class 1000 clean room. All tests in this thesis were performed with MICROPOSIT S1818 SP16, which is a commercial and commonly used positive resist manufactured by Shipley.

To provide sufficient adhesion on the aluminium surface some kind of surface treatment must be used. Today a wet chemical treatment is used at Strand Interconnect. In this report methods to modify the surface properties and to measure the adhesion have been investigated. The three methods to modify the aluminium surface were oxygen plasma, wet chemicals and primers and were used in this thesis. The RF power and time duration of the oxygen plasma were varied, while the temperature, gas flow and pressure were fixed. The adhesion was determined indirectly from measuring contact angles of 50 µl DI water droplets on sputtered aluminium in the wettability test as well as directly from the undercut caused by the etch fluid at the interface between the photoresist and the aluminium surface.

An oxygen plasma with 200 W power for 30 s resulted in the lowest measured contact angle, which means that the resist adheres well on the surface. The angle was 2.99 degrees compared to 6.34 degrees for the wet chemical treatment used today. The same treatment also resulted in the lowest undercut, which correlates well with the result from the contact angle measurements. The measured undercut for a 25µm wide conductor was 1.41 µm, corresponding to an undercutting constant (ku) of 1410. The wet chemical surface treatment used today resulted in an undercut of 1.60 µm, equivalent to a ku of 1233. Similar results were obtained for a 15 µm wide conductor.

APA, Harvard, Vancouver, ISO, and other styles
11

Kanikella, Phaninder Reddy. "Process development and applications of a dry film photoresist." Diss., Rolla, Mo. : University of Missouri-Rolla, 2007. http://scholarsmine.umr.edu/thesis/pdf/Kanikella_09007dcc803c3a3a.pdf.

Full text
Abstract:
Thesis (M.S.)--University of Missouri--Rolla, 2007.
Vita. The entire thesis text is included in file. Title from title screen of thesis/dissertation PDF file (viewed December 6, 2007) Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
12

Wissen, Matthias. "Thermisches Nanoimprint in UV vernetzende Fotolacke." Tönning Lübeck Marburg Der Andere Verl, 2007. http://d-nb.info/988176343/04.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Berger, Cody Michael. "Measuring Acid Generation Kinetics in Photoresist Films via Capacitance Techniques." Diss., Georgia Institute of Technology, 2004. http://hdl.handle.net/1853/7598.

Full text
Abstract:
In this thesis, a novel technique for measuring photoacid generation kinetics in chemically amplified photoresists was developed that utilizes capacitance measurements from interdigitated electrodes. In this technique, a chemically amplified photoresist is first coated onto the interdigitated electrode sensors. Then, capacitance measurements are recorded from the sensor as the photoresist is exposed to UV radiation. As acid is generated in the film during exposure, the net dielectric constant of the resist film changes, resulting in a change in the capacitance measured from the IDE sensor. By properly analyzing the observed capacitance response to exposure, it is possible to determine the kinetic rate constant for photoacid generation, or Dill C parameter. The discussion in this thesis describes four major areas of work performed. First, the basic development of the Dill C measurement technique and data analysis algorithm is described. Second, potential complications due to relative humidity changes, spin coating problems, and ambient base contamination are investigated. Next, the discussion turns to two key improvements to the measurement technique: the use of multi-frequency measurements to increase the capacitance signal, and the development of a normalized capacitance expression for improved data analysis. Finally, the effects of two critical components of chemically amplified resist solutions upon the technique are studied: protecting groups and background base quenchers.
APA, Harvard, Vancouver, ISO, and other styles
14

Engelmann, Sebastian Ulrich. "Plasma-surface interactions of model polymers for advanced photoresist systems." College Park, Md.: University of Maryland, 2008. http://hdl.handle.net/1903/8746.

Full text
Abstract:
Thesis (Ph. D.) -- University of Maryland, College Park, 2008.
Thesis research directed by: Dept. of Material Science and Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
APA, Harvard, Vancouver, ISO, and other styles
15

Gao, Karen Ging. "Photoresist removal using low molecular weight alcohols and IPA-based solutions." Thesis, Georgia Institute of Technology, 2001. http://hdl.handle.net/1853/11875.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Robertson, Stewart A. "In-situ characterisation of positive photoresist development during automated wafer processing." Thesis, University of Edinburgh, 1994. http://hdl.handle.net/1842/12865.

Full text
Abstract:
The place of optical lithography within integrated circuit manufacture is discussed, and the key nature of its role identified. All aspects of lithographic processing are reviewed, highlighting the long list of process conditions which influence the final results. The manner in which lithographic processes are evaluated and characterised is also reviewed, illustrating the large amount of work required to compare different processes. Computer simulation of lithography is reviewed as a quick and cheap way of investigating the effect of key processing parameters on process results. Such simulations are only useful, in this respect, if they exhibit the same trends as genuine processes and are quantitatively accurate. Experimental results reveal discrepancies between modern track-based development techniques and the immersion processes generally used to generate the input parameters for simulation of the development. A novel polychromatic Development Rate Monitor (DRM) is introduced capable of measuring resist dissolution rates in-situ on manufacturing equipment. Results from this equipment demonstrate significant differences between immersion and track development. The detailed output from the DRM system coupled with a new analysis technique allow accurate estimation of post exposure bake diffusion lengths and have led to the derivation of a new model describing surface induction effects during development. Having accurately characterised continuous spray and static puddle development processes, a new 'interrupted development' simulation technique is introduced to simulate the spray/puddle processes commonly employed in manufacturing facilities. Excellent correlation is demonstrated between these simulations and experimental results.
APA, Harvard, Vancouver, ISO, and other styles
17

Geissler, Daniel. "Photospaltbare (Cumarin-4-yl)methyl-Ester als Phototrigger für Nucleotide, Aminosäuren und Protonen." Berlin Logos-Verl, 2006. http://deposit.d-nb.de/cgi-bin/dokserv?id=2949675&prov=M&dok_var=1&dok_ext=htm.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Johnson, Ward A. "Anisotropic acid catalyst displacement in a chemically amplified photoresist via application of an electric field /." Online version of thesis, 2009. http://hdl.handle.net/1850/11620.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Sierchio, Justin Mark. "Development of Large Array Auto Write-Scan Photoresist Fabrication and Inspection System." Diss., The University of Arizona, 2014. http://hdl.handle.net/10150/316782.

Full text
Abstract:
Current metrology methods involve technicians viewing through a microscope, increasing the time, cost, and error rate in inspection. Developing an automated inspection system eliminates these difficulties. Shown in this work is a laser scanning microscope (LSM) design for an opto-electronic detection system (OEDS), based upon the concept that intensity differences related to pattern defects can be obtained from reflections off fused silica samples coated with photoresist (PR) or Aluminum. Development of this system for data collection and processing is discussed. Results show that 2.1 μm resolution of these defects is obtainable. Preliminary results for larger-array patterns through stitching processes are also shown. The second part of this work uses the concept of phase contrast edge detection. Looking at non-metallized patterns, one can use the property that phase changes induced by a refractive-index sensitive material can be seen with a multi-cell array, rendering the image visible by comparing the respective phases. A variety of defects and samples are shown. Extrapolating results to larger arrays is also discussed. Latent imaging, or imaging without development, is also evaluated. Future work in the areas of system commercialization, sample storage, and other mass-printing techniques are discussed.
APA, Harvard, Vancouver, ISO, and other styles
20

Clukay, Christopher J. "Gold nanoparticle generation using in situ reduction on a photoresist polymer substrate." Honors in the Major Thesis, University of Central Florida, 2011. http://digital.library.ucf.edu/cdm/ref/collection/ETH/id/361.

Full text
Abstract:
This report presents evidence that in-situ reduction of metal ions bound to a cross-linked polymer surface does not always result in nanoparticle formation solely at the interface, as is commonly assumed, but also as much as 40 nm deep within the polymer matrix. Tetrachloroaurate ions were bound using a variety of multi-functional amines to cured films of SU-8 -- a cross-linkable epoxide frequently used for micro- and nanofabrication -- and then treated using one of several reducing agents. The resulting gold-nanoparticle decorated films were examined by X-ray photoelectron spectroscopy and by plan-view and cross-sectional transmission electron microscopy. Reduction using sodium borohydride or sodium citrate generates bands of interspersed particles as much as 40 nm deep within the polymer, suggesting both the Au(III) complex and the reducing agent are capable of penetrating the surface and affecting reduction and formation of nanoparticles within the polymer matrix. It is shown that nanoparticle formation can be confined nearer to the polymer interface by using hydroquinone, a sterically bulkier and less flexible reducing agent, or by reacting the surface in aqueous media with high molecular-weight multifunctional amines, that presumably confine Au(III) nearer to the true interface. These finding have important implications for technologies that apply surface bound nanoparticles, including electroless metallization, catalysis, nano-structure synthesis, and surface enhanced spectroscopy.
B.S.
Bachelors
Sciences
Chemistry
APA, Harvard, Vancouver, ISO, and other styles
21

Conradie, Ewan Hendrik. "Functional photoresist and silicon-on-insulator for micro-electro-mechanical system (MEMS)." Thesis, University of Cambridge, 2002. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.620405.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Chen, Wen Chi, and 陳玟綺. "Investigation of holographic photoresist grating." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/47187017847282625086.

Full text
Abstract:
碩士
萬能科技大學
工程科技研究所
98
In this paper,we make holographic photoresist grating base on the thesis of interference . We got two coherent light of wavelength 422nm from He-Cd Laser using beam splitter and make the interferent on photoresist plate . Then , we develop the photoresist plate to gee the interference fringes . Finally , we soft fried analysis the influence about the relative references of photoresist plate.
APA, Harvard, Vancouver, ISO, and other styles
23

Ding, Yau-Bo, and 丁耀柏. "Photoresist Stripping by Supercritical Fluid." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/09482953903710547306.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Burns, Sean David. "Understanding fundamental mechanisms of photoresist dissolution." Thesis, 2003. http://wwwlib.umi.com/cr/utexas/fullcit?p3110736.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Liau, Shing-Ming, and 廖信銘. "Synthesis and Properties of Negative Photoresist." Thesis, 2000. http://ndltd.ncl.edu.tw/handle/79162701133189683675.

Full text
Abstract:
碩士
國立成功大學
化學工程學系
88
Dry film photoresist is a kind of negative photoresist. It crosslink under ultraviolet light exposure, followed by the removal of the unexposed material and etching generates the microcircuit. Dry film photoresist have become widely used in printed circuit boards fabrication because they are more convenient to apply and provide better yield than others. In our investigation, first we synthesized a three-component coploymer, which consists of methyl methacrylate, ethyl acrylate and methacrylic acid by the free radical copolymerization. The copolymer is used as binder in dry film photoresist. In addition, each pair of three monomers is copolymerized in order to find out the reactivity ratio. Second, we also synthesized two kinds of epoxy acrylate, which are biphenyl epoxy acrylate and bisphenol A epoxy acrylate, they were characterized by acid values, EEW and FTIR analyses. These oligomers contain α,β-unsaturated acrylic end groups, and are photocrosslinkable. They provide toughness, good adhesion and heat resistance to the cured film. In the mean time, the sensitivity, contrast, photocrosslinkable rate and developing rate for various dry film photoresist compositions are investigated. Finally, the microcircuit and line width were examined by SEM.
APA, Harvard, Vancouver, ISO, and other styles
26

Lee, Shih-Chieh, and 李世傑. "Simulation of Photoresist Exposure and Development." Thesis, 1997. http://ndltd.ncl.edu.tw/handle/27124014089278341631.

Full text
Abstract:
碩士
國立交通大學
光電工程研究所
86
We develop a microlithography simulation program that can calculate the latent image in the photoresist and the profile of resist after development. In the thesis, we model the exposure- projection system, treat photoresist as thin-film, adopt Dill model and Mack model to evaluate the PAC concentration and developing speed respectively, and finally utilize the least action principle together with Runge-Kutta numerical method to get the resist profile. Simulation results of contact holes, isolate lines and line/space patterns are given as examples.
APA, Harvard, Vancouver, ISO, and other styles
27

Pawloski, Adam Richard. "Chemically amplified photoresist : materials and processes /." 2002. http://www.library.wisc.edu/databases/connect/dissertations.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Yang, Chin-Chien, and 楊金錢. "Effect of gel in the Photoresist." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/49134549210838797528.

Full text
Abstract:
碩士
元智大學
化學工程學系
92
The properties, component and source of the gel formed in the manufacture process of photoresist have been investigated. The components were studied and analyzed by burning and dissolving the gel. DSC, IR spectrometer and SEM were used to discuss it’s physical properties. The source of the gel is likely a coagulation constructed, from non-dissolved PAC, dust, insoluble component in the resin and Novolak. The way to avoid the forming of gel was also studied here. It includes that decreased the temperature during the producing of the Novolak, raised the ratio of the Novolak and utilized low-esterification-ratio PAC. Dissolving and filtrating the Novolak before the manufacturing process, was also used to separate the insoluble component.
APA, Harvard, Vancouver, ISO, and other styles
29

Schmid, Gerard Michael. "Understanding molecular scale effects during photoresist processing." 2003. http://wwwlib.umi.com/cr/utexas/fullcit?p3116179.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Telecky, Alan J. "Photoresist and ion-exchange chemistry of HafSOx." Thesis, 2012. http://hdl.handle.net/1957/29732.

Full text
Abstract:
The chemistry of hafnium oxide based and materials are described in the context of ion exchange and lithography. HafSOx, represented by the composition HfO₂₋[subscript x](SO₄)x, is described to possess a significant capacity towards ion exchange in acidic and basic solutions, enabling films of HafSOx to be cleanly and readily be converted to oxide films by neutralization. The optical properties, composition and morphology of these oxide films are characterized. The fabrication of mixed metal oxide films is demonstrated via solution and ion exchange routes. This thesis also explores the photoresist chemistry of HafSOx resists. A photoreaction mechanism based on the decomposition of peroxide is proposed. In addition, the patterning of HafSOx films by 193 nm, extreme ultraviolet (EUV) and electron beam radiation is described, and the influence of composition on its photoresist properties is studied.
Graduation date: 2012
APA, Harvard, Vancouver, ISO, and other styles
31

Cheng, Chia-He, and 鄭家和. "Analysis and Research of Photoresist Stacking Process." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/99942708671779880223.

Full text
Abstract:
碩士
中華大學
機械工程學系碩士在職專班
100
This thesis is a study on TSV photolithography and etch process by using available resources to achieve the same functions of SU-8 photoresi- st,It helps to determine the correlation of polyimide photoresist thickn- ess versus etch depth during the etch process。 Experiments are carried out with multilayer coating of polyimide photoresist followed by photolithography developing and an etcher to complete the etch process. Data collection are done by measuring the thickness and etch depth each time。 This thesis introduce the various semiconductor process with discuss- ion of thickness adjustment methodology, purpose of each process step and problems encountered。
APA, Harvard, Vancouver, ISO, and other styles
32

Kuo, Tzong-Jen, and 郭宗仁. "The making photoresist master of embossing hologram." Thesis, 1994. http://ndltd.ncl.edu.tw/handle/42307493676256824495.

Full text
Abstract:
碩士
國立中央大學
光電(科學)研究所
82
The aim of this thesis is to research the methods making photoresist master of each kind of embossing hologram product from the view of technology , and to find a way of the simp- lest and the best . We try to producing the photoresist master finally . The researching method of this thesis is firstly to mea- sure the material character of photoresist and to make the hologram of photoresist by using the idea of the rainbow holo- graphy and the color coding . We find the Ar+ laser with wave- length 457.9nm is the suitable light source for our work . For making a hologram in photoresist plate directly the results are not bad except for the true color hologram . The true co- lor hologram can be make better in quality by using the method of copy . In the process of my research , I have find and pro- pose some new theory and methods . As follow : (1) Derive a new condition " The condition of the object with single color in rainbow hologram " . (2) The easy method for making 2-D rainbow hologram . (3) The new method that decrease " Double reflection " when holograms copy to photoresist . (4) Another method for making true color stereogram " Color coding by changing reference beam angle " .
APA, Harvard, Vancouver, ISO, and other styles
33

Wang, Ming-Wen, and 王明文. "Fingering Instability and Reduction of Photoresist Usage." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/32661520847028629108.

Full text
Abstract:
博士
國立中央大學
機械工程研究所
89
To reduce the photoresist usage and understand the film spreading process, this study performs flow visualization experiments and numerical simulations. This thesis is the first work to show that in the early stage of the spin coating process, the spreading of photoresist is mainly governed by the photoresist injection. Then, instability fingers are formed due to the centrifugal force. Accompanied by the growth of fingers in length, the Coriolis force broadens the width of fingers. The numerical results agree with the measured liquid front history at a very short time. Difference between the numerical results and experimental data gradually aroused due to the formation of instability fingers. The critical injection rate for fully coating a wafer increases with decreasing injection volume. Under a fixed wafer rotating speed, increasing the injection rate can significantly reduce the photoresist usage. Since the fingering instability plays a very import role during spin coating, a study of fingering instability for both the injected liquid and a released drop of silicon oil is presented. However, the injected liquid or released drop, the flow field is inner region flow in the low rotational speed and the flow field is outer flow region in the high rotational speed. Due to the effects of molecular wetting and centrifugal force, the shaped of liquid is “wedge” or “nose”. Compared to the results for a released drop, the effect of the liquid injection tends to decrease the critical radius, number of fingers, and maximum fully coated radius. The results will provide the design and operation of spin coating process.
APA, Harvard, Vancouver, ISO, and other styles
34

Lin, Song Shiang, and 林松香. "Silicon-Containing Positive Photoresist for DUV Lithography." Thesis, 1999. http://ndltd.ncl.edu.tw/handle/42855370834703935465.

Full text
Abstract:
碩士
中原大學
化學系
87
The hydrophilicity of the ladder-type alkali insoluble polysilsesquioxane(PolyT4) is modified, in this study, through hydroxylation and acetylation to produce OH-PolyT4 and AC-PolyT4, respectively. It is found that AC-PolyT4 is not only alkali soluble but also casting-solvent compatible.It is high in silicon content (more than 20 wt%) and suitable as a dry-etching resist binder. The preparation methods are described in this study and the physical as well as chemical properties are determined by the use of GPC、UV、IR and TGA. On the other hand, a high-transparent aliphatic compound (TDDM) is selected as the ballast group to esterify with diazonaphthoquinone (DNQ) to give photoactive compound(PAC), which could be admixed with AC-PolyT4 to form a positive photoresist. The positive photoresist thus formed is evaluated as a top layer of the bilayer resist system by deep UV lithography process. N、K values、 swing cure and contrast are measured. The photospeed enhancement effect by using tetrahydroxy-tetraphenyl-cyclo-tetrasiloxane(T4(OH)4) is also discussed in this study. It is found that a fine line of 0.26μm line/space pattern could be successfully printed. This research was intended to develop a silicon-containing positivephotoresist, applicable in the bilayer process for the deep UVphotolithography. We have accomplished the task.
APA, Harvard, Vancouver, ISO, and other styles
35

Junarsa, Ivan. "Methods to reduce collapse of photoresist nanostructures." 2004. http://catalog.hathitrust.org/api/volumes/oclc/56202506.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Chu, Jia-Der, and 朱家德. "A Revised Model of Photoresist Nozzle Allocation." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/h44paq.

Full text
Abstract:
碩士
國立交通大學
工業工程與管理系所
102
This study addresses the allocation of photoresists’ nozzles problem. Wen proposed a mathematical model to solve this problem in 2011. However, we find there are some errors in her mathematical model for some cases and we revised her model in this study. Given the demands of the products in the planning period, the allocation of the photoresist nozzle in previous period and the relationship among each type of photoresist, considered the constraints of machine capacity and the processing quantity of photoresist related to the relationships among each type of photoresist, we formulate a linear integer programming (IP) model for minimizing the non-productive consumption costs we consider in this study to determine the allocation of the photoresist nozzle in the planning period. The non-productive consumption costs include dummy cost of photoresist nozzles, maintenance cost and purge cost. We use LINGO to solve this linear IP mathematical model. The computational time is in exponentially growing with the number of machine and photoresist. In order to reduce the computational time, we propose a heuristic by adding some additional constraints to the IP model to reduce the computational time. Then we verify the accuracy of the heuristic. The worst relative error in testing cases is no more than 4%.
APA, Harvard, Vancouver, ISO, and other styles
37

Yeh, Hsing-Wu, and 葉星吾. "Evaluation and Testing of G-line Photoresist." Thesis, 1999. http://ndltd.ncl.edu.tw/handle/32578030629655920052.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Lin, Bing-Yi, and 林秉毅. "Preparation of Nanoscale Silica Modified Negative Acrylate Photoresist." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/11217757631756517648.

Full text
Abstract:
碩士
淡江大學
化學工程與材料工程學系
93
Organic-inorganic hybrid materials produced from sol-gel techniques have received extensive interest recently. Such hybrid materials are used to synthesize new electronic and optical materials. In this investigation, we use radical chain polymerization to synthesize the Poly(MMA-MAA) copolymer and Poly(MMA-MAA-MSMA) polymer first, and then use the sol-gel method to prepare Polyacrylate-silica hybrid material. The used acrylic monomers were methyl methacrylate (MMA), methacrylic acid (MAA), and 3-(trimethoxysilyl) propyl methacrylate (MSMA). Alkoxide monomer for the preparation of the silicate segment was tetraethoxysilane (TEOS). The effects of the ratio of MSMA and silica on the morphology and thermal properties of the composites were investigated. We added the photosensitive monomers, photoinitiator, dye, and other additives to the composites to prepare negative photoresist. Furthermore, FTIR was employed to investigated the evolution of chemical bonds between component molecules at polymerization and sol-gel process. FESEM and TEM were used to find out the effects of the size and distribution of silica and the porous structure of the composite on the physical properties of the photosensitive film. TGA, DSC, DMA and TMA were used to measure the degradation temperature, glass transition temperature and thermal expansion coefficient, respectively. The experimental results showed that the silica domain the hybrid films was varied from 200-350nm to 100nm by the different weight ratio of MSMA to acrylate monomers. Moreover, no phase separation was observed in Poly(MMA-MAA-MSMA)/SiO2 hybrid with increasing MSMA content. The thermal stability of the prepared hybrid films increased with the increasing silica and MSMA. It was found that the trialkoxysilyl-functionalized polymer was best suited for preparation of hybrid materials with improved properties.
APA, Harvard, Vancouver, ISO, and other styles
39

Huang, Shih-Chuang, and 黃識銓. "Modification of Photoresist and Fabrication of Microlens Arrays." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/88855732416811070401.

Full text
Abstract:
碩士
國立東華大學
光電工程研究所
98
In this study, silver nanoparticles were synthesized and mixed into the photoresist to modify its properties. The modified photoresist was used to fabricate precise microlens arrays by tightly controlling their feature sizes and geometrical shapes. Experimental results showed that the thermal reflow of microlenses speeds up at higher temperatures and shorter softbake durations. However, microlenses begin to broaden at a too high temperature since the photoresist molecules start to move along the interface between the photoresist and the silicon. The feature size of microlenses is thus not possible to be well controlled. In this study, home-made thermal-reflow apparatus was used to manufacture microlenses. The temperature of photoresist surface is higher than the temperature of the interface between the silicon and the photoresist. The photoresist molecules move thus quickly along the free surface of the photoresist, but not along the interface. Therefore, precisely spherical microlens arrays were fabricated.
APA, Harvard, Vancouver, ISO, and other styles
40

Liao, Jen-Sen, and 廖彥瑋. "The study of photoresist removal by ozone-water." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/21680045635042921999.

Full text
Abstract:
碩士
國立交通大學
電子工程系
89
The study of photoresist removal by ozone-water Student: Jen-Sen Liao Advisor:Dr.Jen-Chung Lou Department of Electronics Engineering & Institute of Electronics National Chiao Tung University Abstract The organic photoresist on silicon surface stripping procrsses that currently used in manufacturing technologies are based on dry and wet strip treatment. First, using plasma asher to removal the photoresist then used H2SO4/H2O2 to removal the residues photoresist and Di-water resing. We have studied the properties of photoresist removal by ozone-water. We let the experiments in various conditions and performed the every stripping rate of photoresist. We changed the hard bake temperature, ozone-water temperature, ozone concentration and the different photoresists. After experiments we took the samples into the microscope to observe the results and check whether damage the under layer films. Our studying evaluates the ozone-water process for photoresist removal, it has potential to reduce the cost of ownership (CoO) and throughput. In addition, it can reduce the environmental impact of the current photoresist stripping processes.
APA, Harvard, Vancouver, ISO, and other styles
41

Tsay, Miau-Shing, and 蔡妙欣. "Preparation and photoresist characteristic of negative photosensitive polyimide." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/zs3u46.

Full text
Abstract:
碩士
國立成功大學
化學工程學系碩博士班
90
In this study, two series of polyimides (PI1&PI2) were synthesized. One was produced by the reaction of two kinds of diamine compounds consisting of diaminopolysiloxane and 4,4’- diamino-4”-hydroxytriphenylmethane(DHTM) in various ratio wi- th 3,3’,4,4’-benzophenone tetracarboxylic dianhydride(BDTA). The other was produced by the reaction of two kinds of diamine compounds consisting of diaminopolysiloxane and 4,4’-diamino -4”-hydroxytriphenylamine(DHTA) in various ratio with 3,3’,4, 4’-benzophenone tetracarboxylic dianhydride (BDTA). FTIR, UV, I.V., DSC, TGA and solubility test had been done to all ratio of polyimides. The photosensitive photoresist compositions were comprised by the above synthesized polyimide, a photo crosslinking agent(2,6-Dimethylol-4-methylphenol, DMMP) and a photo acid generator(triphenylsulfonium hexafluoroanti- monate salts, TSFA) to form the negative type polyimide photo- resist which can be developed with an aqueous alkali solution. Comparison of the photosensitive characteristics of above photoresists, it was found that the negative photoresist comprised of PI1(DHTM/DAPS = 70/30 or DHTM/DAPS = 60/40), 15% TSFA and 21% DMMP showed a sensitivity of 470 mJ/cm2(or 560 mJ/cm2) when the resist film was prebaked at 90℃ for 20 min, post exposure baked at 130℃ for 10 min and developed in 2.5% aqueous tetramethylammonium hydroxide(TMAH) at 60℃ for 30 min (or 35min). While the other photoresist which comprised of PI2(DHTA/ DAPS = 70/30 or DHTA/DAPS = 60/40), 15% TSFA and 21% DMMP exhibited a sensitivity of 607 mJ/cm2(or 720 mJ/cm2)when the resist film was prebaked at 90℃ for 20 min, post exposure baked at 130℃ for 10 min and developed in 2.5% aqueous tetramethyl- ammonium hydroxide(TMAH) at 60℃ for 40 min(or 50 min).By cont- rast,the sensitivity of PI1 is higher than PI2 for about 130 to 160 mJ/cm2.
APA, Harvard, Vancouver, ISO, and other styles
42

Yeh, Chen-Yi, and 葉鎮熠. "Improvement of Photoresist Residuals Induced Wafer Edge Defects." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/d6r6bh.

Full text
Abstract:
碩士
國立暨南國際大學
光電科技碩士學位學程在職專班
105
The purpose of photoresist development is to duplicate patterns on masks into photoresist layers and develop the patterns of photoresist accurately when sustaining acceptable adherence of photoresist. For advanced IC processes, this ability is a crucial index. This research focuses on cleaning chemical amplification photoresist remained at wafer edge during development processes after exposure. Chemical amplification photoresist requires a heating step after exposure (normally with temperature between 90 to 140 oC for one to two minutes) to alter the solubility of the film effectively. As the chemical generated after photoresist being exposed is an acid catalyst, this chemical could induce functional group reaction within photoresist during the process of post exposure bake (PEB) and not be consumed. Different photoresist characteristics and pattern density will affect the amount of photoresist dissolved after exposure. Development processes include developers reacting with photoresist and water clean. This research analyzes the number of times rinsed and the optimal development recipe to seek for the best rinse condition. Resolution of the original patterns was also closely watched during the experiments. Moreover, temperature control before and after exposure also needs to be careful. If the baking temperature is lower, photoresist will contain more solvent and will be developed rapidly. The critical dimension will not be easily controlled. On the other hand, if the photoresist contains less solvent, a higher exposure dose is required and the control of critical dimension could be more easily. After the completion of this experiment, particles are reduced and the yield is improved for 2.8 %.
APA, Harvard, Vancouver, ISO, and other styles
43

Tiao-YangChen and 陳調陽. "Explore the efficiency of photoresist stripper using systemdynamics." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/x9b4jr.

Full text
Abstract:
碩士
國立成功大學
工業與資訊管理學系碩士在職專班
107
This research makes use of the method of system dynamics to inquire into a photoresist stripper recovery rate, Because the photoresist stripper uses a procedure complicated, and is influenced by many different depletion sources, Change these parameters that consume and use a source all will produce the variety to the recovery rate, Have the high dynamic state variety,Therefore make use of the system dynamics software Vensim PLE to construct the photoresist stripper model, With the use current conditions that imitates a chemicals, And is carried on the simulate of each policy by the current conditions,With find out the best chemicals recovery rate method. This research puts great emphasis on the photoresists stripper recycle efficiency, And analyze three key factors:Adjust the air knife regulator, Establish exhaust condenser, and use SRS recycle system to increase the recovery rate. In addition, After carrying out the policy emulation, The Stripper recovery rate can promote to 77.6%。
APA, Harvard, Vancouver, ISO, and other styles
44

CHEN, YING-CHIEH, and 陳盈介. "Using Recycled Photoresist to Manufacture TFT Gate Device." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/2c6by8.

Full text
Abstract:
碩士
國立臺北科技大學
化學工程與生物科技系化學工程碩士班
107
The thin-film-transistor liquid-crystal display (TFT-LCD) is one of the government focus industries. Ever since the inception of TFT-LCD for more than two decades ago, it has become mature in the market. Therefore, it is imperative that our industry should find a better way to reduce the waste with increasingly attention to the impact around the ecosystem. The new generation factories (G6 and above) in Array will not generate the waste photoresist issue, but there are still more than 10 old generation factories produces thousands of tons of waste photoresist every year. This paper studies the equipment produced by the TFT-LCD four-generation factory, collects the discarded photoresist in the process and regenerated it, and manufactures TFT gate device by recycle photoresist. By collecting data during the process of mass production, this paper uses the statistically method to analyze the data. In order to make sure the quality of the products not impacted by the reuse of the recycle photoresist, we not only confirm size of the pattern but also add the electronic test of the TFT device. The use of recycled photoresist for manufacturing not only enhances the company's competitiveness, but also fulfills corporate responsibility for friendly environment and environmental issues.
APA, Harvard, Vancouver, ISO, and other styles
45

Chen, Ji-Wei, and 陳志偉. "The Study of Photoresist Removal Mechanism by Ozone." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/35227272127949272579.

Full text
Abstract:
碩士
國立交通大學
電子工程系
91
The Study of Photoresist Removal Mechanism by Ozone Student:Ji-Wei Chen Advisor:Dr. Jen-Chung Lou Department of Electronics Engineering & Institute of Electronics National Chiao Tung University Abstract Ozone has been studied in replacement of the mixture of sulfuric acid and peroxide used to remove residual photoresist after lithography in traditional IC process. Researches indicated that ozone is capable of quickly stripping photoresist so that ozone may be beneficial to apply in IC process. Due to the lack of systematic illustrations related to the photoresist removal mechanism by ozone, we will thoroughly investigate this mechanism so that we can propose the better conditions of photoresist stripping process to increase the reaction rates, reduce process time and cost of ownership. In the beginning, we proposed mechanism of diffusion layer between ozone and photoresist to illustrate the key point of the reaction rates. In experiments, we changed the aqueous ozone concentrations, flow rate of ozonated water, and [OH-] to study the mass transfer of ozone through the boundary layer. The results indicated that although aqueous ozone is strong oxidant aqueous ozone concentration should be raised to increase the reaction rate limited by ozone diffusion. The reactions of ozone with photoresist take place by the mass transfer of ozone to the photoresist so the increase of mass transfer of ozone is necessary. In photoresist stripping process, it is critical to raise mass transfer of ozone to the photoresist through the diffusion layer. At last, we increased the reaction rates by megasonic agitation or stirring. Instead of raising the aqueous ozone concentrations, we conducted the reduced diffusion layer to increase the mass transfer so that a faster reaction rate was achieved. In conclusion, raising the aqueous ozone concentrations, reducing [OH-], plus megasonic agitation can effectively remove the photoresist.
APA, Harvard, Vancouver, ISO, and other styles
46

Philippou, Alexander [Verfasser]. "Mesoscopic and continuous photoresist development simulation / von Alexander Philippou." 2007. http://d-nb.info/992515955/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

HE, BANG-QING, and 何邦慶. "Studies of (Methacrylonitrile/Methacrylic acid) copolymer and polyimide photoresist." Thesis, 1992. http://ndltd.ncl.edu.tw/handle/66522190044458190201.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

LU, JIN-YU, and 盧金鈺. "Studies on the syntheses, properties and application of photoresist." Thesis, 1989. http://ndltd.ncl.edu.tw/handle/16354795023329794450.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Hong, Shou-Mau, and 洪壽懋. "Synthesis and Characterization of Chemical Amplified Negative Tone Photoresist." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/75633918292421596759.

Full text
Abstract:
碩士
國立成功大學
化學工程學系碩博士班
92
Alicyclic monomeric 7-oxa-bicyclo[2,2,1]hept-5-ene-2exo,3exo- dicarboxlic acid anhydride (OBCA) was synthesized through Dield-Alder reaction. Chiral (-)-bornyl methacrylate ((-)-BMA) was prepared from dehydrating esterification of (-)-borneol and methacrylic acid (MAA). Both acrylic monomers were then copolymerized with maleic anhydride (MA), and methyl methacrylate (MMA). The copolymers were used to prepared negative tone photoresist with PAG. Polymer pendent carboxylic groups were crosslinked through photoacid catalytic reactions. To improve the photo-crosslinking efficiency of the negative tone photoresist, 7-oxa- bicyclo[2,2,1]hept-5-ene-2,3-dicarboxlic acid mono-(2-hydroxy ethyl)ester (OBME) was synthesized and copolymerized with other comonomers. Synthesized alicyclic monomers were all confirmed using FTIR, EA and 1H-NMR. The □max of the alicyclic copolymers is around 220~300 nm. The copolymers can be applied on the field of g-line (436nm), i-line (365nm), and ArF (193nm) photoresists. Thermal properties and solubility in various alkaline aqueous solutions of the photoresists were all evaluated. The photosensitive and exposure characteristics of the photoresists prepared in this investigation were all estimated. The optimal sensitivity of 27mJ/cm2 and resolution of 0.5um was achieved. The stability and the reliability of the photoresist with copolymers synthesized in this investigation were all confirmed. Dry etching resistance of the prepared copolymers were compared with those of polystyrene and PMMA, Alicyclic aliphatic polymers prepared in this investigation were found to obviously improve the plasma etching resistance.
APA, Harvard, Vancouver, ISO, and other styles
50

YU-TE, CHOU, and 周育德. "Analysis of Patent Map for Surfactant Applied in Photoresist." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/75539388310209431498.

Full text
Abstract:
碩士
長庚大學
企業管理研究所
95
Photoresist is consisted of resin, hardened additive, hardened initiator, monomer, polymer and surfactant etc. It’s purpose is to define pattern. First, search patent map analysis of surfactant applied in photoresist by using patent analysis software on European Patent Office, to conduct detailed patent analysis of countries, companies, inventors, citation, patent family and IPC etc.. And combine results of technology life cycle and industry analysis data to realize the technology development trend of surfactant applied in photoresist. The results of this study: Japan, Korea and USA had published the most number of patents. Depend on numbers of citing and patent family, EP0062733 published by Shipley was most important, and applied by main photoresist suppliers. By IPC and technology life cycle analytic data, number of patents is the most in 2003, and then declined year by year. This study found that the technology has already located from maturity to declined stage. But marketing trend shows that value of photoresist products keep 10% grow rate by year from 2005, besides Japan and Korea plan to release new litho. technology, so it’s hoped that there will be a new S curve in the near future.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography