Academic literature on the topic 'Photoresist'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Photoresist.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Photoresist"

1

Hung, Shih Yu, Yu Ting Hung, and Ming Ho Shen. "Tilted Microlens Fabrication Using Nano-Magnetic Particles." Advanced Materials Research 1105 (May 2015): 259–63. http://dx.doi.org/10.4028/www.scientific.net/amr.1105.259.

Full text
Abstract:
Double-layer heterogeneous photoresist method will be used firstly to obtain the round photoresist column with two layers of different photoresists. Since both photoresists are the positive-type, the exposure is only required once. During the thermal reflow processing, the upper photoresist layer (AZ-4620 and nanomagnetic powder mixture) reaches the glass transition temperature, which is transformed from a glassy state into a rubbery state. Since the glass transition temperature of the lower photoresist layer (AZ-5214E) is higher than the temperature of thermal reflow, the lower photoresist layer is still able to maintain its solid state. The lower layer creates a round base during the thermal reflow process, and then subjected to an appropriate magnetic field. The base can not only restrict the bottom shape of the liquid photoresist to a round shape but also prevent the sliding of liquid photoresist during the thermal reflow process, so the tilted microlens array can be obtained. We can vary the strength of magnetic field to control the oblique angle of the tilted microlens.
APA, Harvard, Vancouver, ISO, and other styles
2

Chang, Chun Ming, Ming Hua Shiao, Don Yau Chiang, Chin Tien Yang, Mao Jung Huang, Chung Ta Cheng, and Wen Jeng Hsueh. "Submicron Patterns on Sapphire Substrate Produced by Dual Layer Photoresist Complimentary Lithography." Applied Mechanics and Materials 284-287 (January 2013): 334–41. http://dx.doi.org/10.4028/www.scientific.net/amm.284-287.334.

Full text
Abstract:
In this study, the combined technologies of dual-layer photoresist complimentary lithography (DPCL), inductively coupled plasma-reactive ion etching (ICP-RIE) and laser direct-write lithography (LDL) are applied to produce the submicron patterns on sapphire substrates. The inorganic photoresist has almost no resistance for chlorine containing plasma and aqueous acid etching solution. However, the organic photoresist has high resistance for chlorine containing plasma and aqueous acid etching solution. Moreover, the inorganic photoresist is less etched by oxygen plasma etching process. The organic and inorganic photoresists deposit sequentially into a composite photoresist on a substrate. The DPCL takes advantages of the complementary chemical properties of organic and inorganic photoresists. We fabricated two structures with platform and non-platform structure. The non-platform structure featured structural openings, the top and bottom diameters and the depth are approximately 780 nm, 500 nm and 233 nm, respectively. The platform structure featured structural openings, the top and bottom diameters and the depth are approximately 487 nm, 288 nm and 203 nm, respectively. The precision submicron or nanoscale patterns of large etched area and patterns with high aspect ratio can be quickly produced by this technique. This technology features a low cost but high yield production technology. It has the potential applications in fabrication of micro-/nanostructures and devices for the optoelectronic industry, semiconductor industry and energy industry.
APA, Harvard, Vancouver, ISO, and other styles
3

Suhard, Samuel, Martine Claes, Yann Civale, Philip Nolmans, Deniz Sabuncuoglu Tezcan, and Youssef Travaly. "ESH Friendly Solvent for Stripping Positive and Negative Photoresists in 3D-Wafer Level Packaging and 3D-Stacked IC Applications." Solid State Phenomena 187 (April 2012): 223–26. http://dx.doi.org/10.4028/www.scientific.net/ssp.187.223.

Full text
Abstract:
NMP is a commonly used solvent for removing positive photoresist in 3D applications, especially in electroplating and (micro-) bumping. However, the negative photoresists are more and more preferred in these applications. Unfortunately, NMP is inefficient for negative photoresist and it is not considered in Europe as an ESH solvent anymore. In this paper a comparative study was carried out in order to identify a solvent that is ESH friendly and a one-size-fits-all solution for stripping negative-tone and thick positive-tone photoresist (2-22 μm) for (micro-) bumping, electroplating and TSV etch applications. The study was performed at tool level.
APA, Harvard, Vancouver, ISO, and other styles
4

Sanada, Toshiyuki, Masao Watanabe, Atsushi Hayashida, and Yoichi Isago. "Post Ion-Implant Photoresist Stripping Using Steam and Water: Pre-Treatment in a Steam Atmosphere and Steam-Water Mixed Spray." Solid State Phenomena 145-146 (January 2009): 273–76. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.273.

Full text
Abstract:
It is well-known that ion-implant doses greater than 5E14 atoms/cm2 can create an amorphous carbon-like layer “crust”, and also that this crust is extremely difficult to dissolve with wet chemicals. In practice, a combination of dry plasma ashing and wet chemical removal is used to eliminate the photoresist. In this study, a novel photoresist stripping technique is proposed. We have improved wet vapor photoresist stripping technique [1] using the mixture of high-speed steam flow and purified water droplets. Relatively low pressure clean steam is mixed with purified water in the nozzle, and sprayed on a photoresist coated Si wafer. We have also developed a pre-treatment method in a chamber with keeping both temperature and humidity constant, in order to strip post ion-implanted photoresit. The most significant feature of this proposed technique is that we use water only; hence we are able to strip photoresist without chemicals.
APA, Harvard, Vancouver, ISO, and other styles
5

Chang, Jung-Jung, Jhih-Wei Huang, Chun-Feng Lin, Shun-Wei Liu, and Chih-Hsin Chen. "Enhancing the signal contrast ratio and stability of liquid crystal-based sensors by using fine grids made by photolithography of photoresists." Analyst 146, no. 12 (2021): 3834–40. http://dx.doi.org/10.1039/d1an00332a.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Yeung, Ka-Wai, Yuqing Dong, Ling Chen, Chak-Yin Tang, Wing-Cheung Law, Gary Chi-Pong Tsui, and Daniel S. Engstrøm. "Printability of photo-sensitive nanocomposites using two-photon polymerization." Nanotechnology Reviews 9, no. 1 (May 15, 2020): 418–26. http://dx.doi.org/10.1515/ntrev-2020-0031.

Full text
Abstract:
AbstractTwo-photon polymerization direct laser writing (TPP DLW) is an emerging technology for producing advanced functional devices with complex three-dimensional (3D) micro-structures. Tremendous efforts have been devoted to developing two-photon polymerizable photo-sensitive nanocomposites with tailored properties. Light-induced reconfigurable smart materials such as liquid crystalline elastomers (LCEs) are promising materials. However, due to the difficulties in designing two-photon polymerizable liquid crystal monomer (LCM) nanocomposite photoresists, it is challenging to fabricate true 3D LCE micro-structures. In this paper, we report the preparation of photo-sensitive LCE nanocomposites containing photothermal nanomaterials, including multiwalled carbon nanotubes, graphene oxide and gold nanorods (AuNRs), for TPP DLW. The printability of the LCE nanocomposites is assessed by the fidelity of the micro-structures under different laser writing conditions. DLW of GO/LCM photoresist has shown a vigorous bubble formation. This may be due to the excessive heat generation upon rapid energy absorption of 780 nm laser energy. Compared to pure LCM photoresists, AuNR/LCM photoresists have a lower laser intensity threshold and higher critical laser scanning speed, due to the high absorption of AuNRs at 780 nm, which enhanced the photo-sensitivity of the photoresist. Therefore, a shorter printing time can be achieved for the AuNR/LCM photoresist.
APA, Harvard, Vancouver, ISO, and other styles
7

Monney, Baptiste, Allison E. Hess-Dunning, Paul Gloth, Jeffrey R. Capadona, and Christoph Weder. "Mechanically adaptive implants fabricated with poly(2-hydroxyethyl methacrylate)-based negative photoresists." Journal of Materials Chemistry B 8, no. 30 (2020): 6357–65. http://dx.doi.org/10.1039/d0tb00980f.

Full text
Abstract:
A negative photoresist approach towards physiologically responsive mechanically adaptive polymers that soften upon insertion into the body is reported. The framework relies on photoresists that can be processed into implants having complex shapes.
APA, Harvard, Vancouver, ISO, and other styles
8

Snyder, Randy W., and Stephen J. Fuerniss. "ATR/IR Spectroscopic Method for following Photo-Polymer Curing." Applied Spectroscopy 46, no. 7 (July 1992): 1113–16. http://dx.doi.org/10.1366/0003702924124187.

Full text
Abstract:
A method for examining the photo-induced polymerization of photoresists and solder masks, at the substrate/photopolymer interface, using attenuated total reflectance (ATR) and infrared spectroscopy is discussed. The method is especially useful for dry film photoresists and gives information concerning cure levels affected by exposure dose and photoresist thickness. The photoprocessing of Vacrel® 8100 series solder mask and Riston® 3100 series photoresists from Dupont are used as examples.
APA, Harvard, Vancouver, ISO, and other styles
9

Shi, Genggongwo, Sung Hoon Park, Jeseob Kim, Minji Kim, and Lee Soon Park. "Side-Chain Polyimides as Binder Polymers for Photolithographic Patterning of a Black Pixel Define Layer for Organic Light Emitting Diode." International Journal of Polymer Science 2018 (September 20, 2018): 1–7. http://dx.doi.org/10.1155/2018/3790834.

Full text
Abstract:
A pixel define layer (PDL) in an organic light emitting diode (OLED) is patterned using a photolithographic process before the deposition of organic layers on top of ITO anode. If the patterning of PDL on OLED panels can be achieved using a black photoresist, the patterning of black matrix (BM) on top of PDL patterns can be omitted by reducing the reflection of ambient light from OLED panels. In this study, we synthesized a series of side-chain-type polyimides as binder polymers of black photoresists and investigated the potential of using the black photoresist for the fine patterning of black PDL on OLED panels.
APA, Harvard, Vancouver, ISO, and other styles
10

Wang, Jing Jing, Eugene Shalyt, Chuan Nan Bai, Guang Liang, Michael MacEwan, and Vishal Parekh. "Advanced Monitoring of TMAH Solution." Solid State Phenomena 219 (September 2014): 81–84. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.81.

Full text
Abstract:
Tetramethyl ammonium hydroxide (TMAH) has wide applications in semiconductor industry, including photoresist development, silica etching (especially Sigma etching), and wafer cleaning, etc. One of the critical areas of the photolithography process is the development of unexposed (negative) or exposed (positive) photoresists without pattern distortion. As a metal free, basic aqueous solution, TMAH is the most common positive photoresist developer providing no metal contaminations. The bath composition, especially the concentration of TMAH, is strictly controlled within the process specifications (~2.2 to 2.4 % (w/w)), since it greatly affects the developing rate and contrast [1]. Traditional monitoring of TMAH concentration does not suffice for the modern needs of a sub-20 nm IC fabrication process. Additional critical parameters include dissolved carbonate and proprietary surfactants.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Photoresist"

1

Cheshmehkani, Ameneh. "Design and synthesis of molecular resists for high resolution patterning performance." Thesis, Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/50286.

Full text
Abstract:
In this thesis, different approaches in synthesizing molecular resist are examined, and structure-property relations for the molecular resist properties are studied. This allows for design of resists that could be studied further as either negative or positive tone resists in photolithography. A series of compounds having different number of acrylate moiety, and different backbones were investigated for photoresist application. Thermal curing of acrylate compounds in organic solvent was also examined. Film shrinkage, as well as auto-polymerization was observed for these compounds that make them unsuitable as photoresist material. Furthermore, calix[4]resorcinarenes (C4MR) was chosen as backbone, and the functional groups was selected as oxetane and epoxy. Full functionalized C4MR compounds with oxetane, epoxy and allyl were synthesized. Variable-temperature NMR of C4MR-8Allyl was studied in order to get a better understanding of the structure’s conformers. Energy barrier of exchange (ΔG#) was determined from coalescence temperatures, and was 57.4 KJ/mol for aromatic and vinyl hydrogens and 62.1 KJ/mol for allylic hydrogens.
APA, Harvard, Vancouver, ISO, and other styles
2

Barkschat, Axel. "Bildgebende elektrochemische Untersuchungen an Grenzflächen mit metallzentrierten Elektronenübertragungen." [S.l.] : [s.n.], 2004. http://www.diss.fu-berlin.de/2004/124/index.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Chao, Adam (Adam C. ). "Salty development of an optical photoresist." Thesis, Massachusetts Institute of Technology, 2008. http://hdl.handle.net/1721.1/44823.

Full text
Abstract:
Thesis (S.B.)--Massachusetts Institute of Technology, Dept. of Physics, 2008.
Includes bibliographical references (leaf 26).
In this series of experiments, we add salt to a photoresist developer and observe the effect on photoresist contrast. In order to measure contrast, we designed an anti-reflection coating stack to reduce reflections between the photomask and the photoresist. After development, we observe that for 400 nm exposures of photoresist PS4 that there is no significant change in contrast with salty development, however, for samples exposed at 220 nm, there is contrast enhancement. However, it is not clear how much of the contrast enhancement for the 220 nm samples was due to the shorter wavelength, and how much was due to a different developer concentration versus the 400 nm samples. That being said, we hypothesize that the observed contrast enhancement is due to differences in photoresist cross-linking due to the different wavelength exposures.
by Adam Chao.
S.B.
APA, Harvard, Vancouver, ISO, and other styles
4

Gardiner, Allen Brooke. "Measurement of concentration gradients in photoresist films and study of the influence of these gradients on photoresist performance /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Henderson, Clifford Lee. "Advances in photoresist characterization and lithography simulation /." Digital version accessible at:, 1998. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Yamada, Shintaro. "Design and study of advanced photoresist materials : positive tone photoresists with reduced environmental impact and materials for 157 nm lithography /." Full text (PDF) from UMI/Dissertation Abstracts International, 2000. http://wwwlib.umi.com/cr/utexas/fullcit?p3004404.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Daly, Daniel John. "The fabrication and measurement of melted photoresist microlenses." Thesis, King's College London (University of London), 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.300378.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Cho, Sungseo. "Synthesis of photoresist materials for 193 nm exposure /." Digital version accessible at:, 2000. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Wong, Sean Hang Edmond. "Arsenic Trisulfide Inorganic Photoresist for Three-Dimensional Photolithography." [S.l. : s.n.], 2008. http://digbib.ubka.uni-karlsruhe.de/volltexte/1000009084.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Tomicic, Daniel. "Adhesion measurements of positive photoresist on sputtered aluminium surface." Thesis, Linköping University, Department of Science and Technology, 2002. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-1118.

Full text
Abstract:

This thesis deals with different methods to improve the adhesion between sputtered aluminium and positive photoresist. Factors controlling the adhesion and different ways to measure the adhesion have been investigated. Different surface treatments prior to resist disposition have been investigated as well. The investigated surface treatments and adhesion measurements are compatible with the available equipment and the existing process cycle at Strand Interconnect AB. All tests were made in class 1000 clean room. All tests in this thesis were performed with MICROPOSIT S1818 SP16, which is a commercial and commonly used positive resist manufactured by Shipley.

To provide sufficient adhesion on the aluminium surface some kind of surface treatment must be used. Today a wet chemical treatment is used at Strand Interconnect. In this report methods to modify the surface properties and to measure the adhesion have been investigated. The three methods to modify the aluminium surface were oxygen plasma, wet chemicals and primers and were used in this thesis. The RF power and time duration of the oxygen plasma were varied, while the temperature, gas flow and pressure were fixed. The adhesion was determined indirectly from measuring contact angles of 50 µl DI water droplets on sputtered aluminium in the wettability test as well as directly from the undercut caused by the etch fluid at the interface between the photoresist and the aluminium surface.

An oxygen plasma with 200 W power for 30 s resulted in the lowest measured contact angle, which means that the resist adheres well on the surface. The angle was 2.99 degrees compared to 6.34 degrees for the wet chemical treatment used today. The same treatment also resulted in the lowest undercut, which correlates well with the result from the contact angle measurements. The measured undercut for a 25µm wide conductor was 1.41 µm, corresponding to an undercutting constant (ku) of 1410. The wet chemical surface treatment used today resulted in an undercut of 1.60 µm, equivalent to a ku of 1233. Similar results were obtained for a 15 µm wide conductor.

APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Photoresist"

1

United States International Trade Commission. Dry film photoresist from Japan. Washington, DC: U.S. International Trade Commission, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ita, Paul A., and Matthew Bernard Zielinski. Photoresists & ancillaries. Cleveland, Ohio: Freedonia Group, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Moreau, Wayne M. Semiconductor lithography: Principles, practices, and materials. New York: Plenum Press, 1988.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Lin, Qinghuang. Advances in resist materials and processing technology XXIV: 26-28 February, 2007, San Jose, California, USA. Edited by Society of Photo-optical Instrumentation Engineers and SEMATECH (Organization). Bellingham, Wash: SPIE, 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Allen, Robert D. Advances in resist materials and processing technology XXVII: 22-24 February 2010, San Jose, California, United States. Edited by SPIE (Society). Bellingham, Wash: SPIE, $c c2010., 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Diazonaphthoquinone-based resists. Bellingham, Wash., USA: SPIE Optical Engineering Press, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Grishchenko, V. K. Zhidkie fotopolimerizui͡u︡shchiesi͡a︡ kompozit͡s︡ii. Kiev: Nauk. dumka, 1985.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Henderson, Clifford L. Advances in resist materials and processing technology XXVI: 23-25 February 2009, San Jose, California, United States. Bellingham, Wash: SPIE, 2009.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Photoreactive polymers: The science and technology of resists. New York: Wiley, 1989.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

International, Symposium on Patterning Science and Technology (1st 1989 Hollywood Fla ). Proceedings of the Symposium on Patterning Science and Technology. Pennington, NJ (10 S. Main St., Pennington 08534-2896): Electrochemical Society, 1990.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Photoresist"

1

Murata, M., M. Koshiba, and Y. Harita. "Positive Photoresist Chemistry." In Progress in Pacific Polymer Science, 283–94. Berlin, Heidelberg: Springer Berlin Heidelberg, 1991. http://dx.doi.org/10.1007/978-3-642-84115-6_33.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ceyssens, Frederik, and Robert Puers. "SU-8 Photoresist." In Encyclopedia of Nanotechnology, 1–16. Dordrecht: Springer Netherlands, 2015. http://dx.doi.org/10.1007/978-94-007-6178-0_360-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Ceyssens, Frederik, and Robert Puers. "SU-8 Photoresist." In Encyclopedia of Nanotechnology, 3858–73. Dordrecht: Springer Netherlands, 2016. http://dx.doi.org/10.1007/978-94-017-9780-1_360.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Kudo, Hiroto. "Photolithography and Photoresist." In Encyclopedia of Polymeric Nanomaterials, 1585–90. Berlin, Heidelberg: Springer Berlin Heidelberg, 2015. http://dx.doi.org/10.1007/978-3-642-29648-2_112.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Kudo, Hiroto. "Photolithography and Photoresist." In Encyclopedia of Polymeric Nanomaterials, 1–7. Berlin, Heidelberg: Springer Berlin Heidelberg, 2014. http://dx.doi.org/10.1007/978-3-642-36199-9_112-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Zhu, Yimei, Hiromi Inada, Achim Hartschuh, Li Shi, Ada Della Pia, Giovanni Costantini, Amadeo L. Vázquez de Parga, et al. "SU-8 Photoresist." In Encyclopedia of Nanotechnology, 2530–43. Dordrecht: Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-90-481-9751-4_360.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Lin, Qinghuang. "Properties of Photoresist Polymers." In Physical Properties of Polymers Handbook, 965–79. New York, NY: Springer New York, 2007. http://dx.doi.org/10.1007/978-0-387-69002-5_57.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Ueno, Takumi, Robert D. Allen, and James Thackeray. "Chemistry of Photoresist Materials." In Microlithography, 327–418. Third edition. | Boca Raton : CRC Press, 2020.: CRC Press, 2020. http://dx.doi.org/10.1201/9781315117171-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Smith, Bruce W. "Photoresist and Materials Processing." In Microlithography, 419–92. Third edition. | Boca Raton : CRC Press, 2020.: CRC Press, 2020. http://dx.doi.org/10.1201/9781315117171-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Christenson, Kurt K., Jeffery W. Butterbaugh, Thomas J. Wagener, Nam Pyo Lee, Brent Schwab, Michael Fussy, and John Diedrick. "All Wet Stripping of Implanted Photoresist." In Solid State Phenomena, 109–12. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/3-908451-46-9.109.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Photoresist"

1

Bunday, Benjamin, Cecilia Montgomery, Warren Montgomery, and Aaron Cordes. "Static and dynamic photoresist shrinkage effects in EUV photoresists." In SPIE Advanced Lithography, edited by Alexander Starikov. SPIE, 2012. http://dx.doi.org/10.1117/12.916533.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lee, Yongsu, Jinha Kim, Seokwoo Lee, and Seungsub Lee. "Development of LIGA-Like Process With Positive Photoresist and SU-8." In ASME 2010 International Mechanical Engineering Congress and Exposition. ASMEDC, 2010. http://dx.doi.org/10.1115/imece2010-38379.

Full text
Abstract:
LIGA-Like techniques allows the fabrication of structures with high aspect ratio. SU-8 is a well-known thick photoresist used in this process. However, the remainder of highly cross-linked epoxy after photolithography process is difficult to remove without damage or alteration to electroplated metal due to the high aspect ratio of the structure. Different from SU-8, positive photoresist is easily removed, yet has limitation of fabricating structures with high aspect ratio. In this paper, we present novel LIGA-Like process with positive photoresist to improve above mentioned difficulties. In order to increase a height of positive photoresist, we have introduced SU-8 into the system. SU-8 is used for cast to control the thickness of positive photoresist, and AZ9260 (positive photoresis) is used for electroplating mold. In this suggested process, Donut-shaped SU-8 cast is patterned on the border of substrate to control and increase total height of positive photoresist. AZ9260, a kind of positive photoresist, fills the center of vacant area, and the thickness of the AZ9260 is controlled by height of SU-8 cast during the process of slow spin coating. After spin coating, the system is exposed to natural atmospheric condition for hours to improve surface planarization. The soft baking is carried out in two steps. The purpose of first prebaking is to evaporate large amount of solvent, and second prebaking is to enhance aspect ratios of photoresist. In the process of prebaking, the AZ9260 coated wafer is covered with chalet due to the fast dry process causes wrinkle and bubble on the surface. Through extensive experiments, we have established the condition of spin coating, exposure doses, prebaking and development process in each structure of 150, 200 and 240 μm thickness. Different from the case of SU-8, AZ9260 mold can be effectively removed after electroplating treatment. Finally, we obtain metal structures of 150, 200, 240μm heights corresponding to the mold height (AZ9260). It is shown that SU-8 can be successfully replaced by positive photoresist in LIGA-Like process. Therefore, the suggested fabrication method can be applied to materialize the positive photoresist structures with high aspect ratio in UV lithography process.
APA, Harvard, Vancouver, ISO, and other styles
3

Bourov, Anatoly, Yongfa Fan, Frank C. Cropanese, and Bruce W. Smith. "Photoresist modulation curves." In Optical Microlithography XVIII. SPIE, 2005. http://dx.doi.org/10.1117/12.602805.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Jordhamo, George M., Ian Melville, and Ann M. Mewherter. "Photoresist ultrafiltration optimization." In 23rd Annual International Symposium on Microlithography. SPIE, 1998. http://dx.doi.org/10.1117/12.312475.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Wallow, Thomas I., Junyan Dai, Charles R. Szmanda, Hiram Cervera, Chi Truong, Nikolaos Bekiaris, Jong-Wook Kye, Ryoung-Han Kim, Harry J. Levinson, and Glen Mori. "Photoresist stabilization for double patterning using 172 nm photoresist curing." In SPIE Advanced Lithography, edited by Clifford L. Henderson. SPIE, 2009. http://dx.doi.org/10.1117/12.814468.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Fryer, David S., Juan J. de Pablo, and Paul F. Nealey. "Photoresist and the photoresist/wafer interface with a local thermal probe." In 23rd Annual International Symposium on Microlithography. SPIE, 1998. http://dx.doi.org/10.1117/12.312391.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Yang, Geng, Yit-Sung Ngo, Andi S. Putra, Kar-Tien Ang, Arthur Tay, and Zhong-Ping Fang. "Monitoring and control of photoresist properties and CD during photoresist processing." In SPIE Advanced Lithography, edited by Christopher J. Raymond. SPIE, 2010. http://dx.doi.org/10.1117/12.846560.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Jakkinapalli, Aravind, Balaji Baskar, and Sy-Bor Wen. "Femtosecond Two-Photon 3D Lightfield Lithography." In ASME 2021 Heat Transfer Summer Conference collocated with the ASME 2021 15th International Conference on Energy Sustainability. American Society of Mechanical Engineers, 2021. http://dx.doi.org/10.1115/ht2021-62290.

Full text
Abstract:
Abstract Based on the successful single-photon 3D light field photolithography we demonstrated in the last year, we extend the methodology to femtosecond 3D light field lithography. Compared with our previous single-photon work with UV LED light, using femtosecond light and the associated two-photon light absorption in 3D light field lithography can cure photoresist only around designed voxel locations in a 3D space. Such a two-photon scheme can prevent the unwilling curing of photoresists along the optical paths of rays before arriving at designed voxel locations, which is observed in our previous UV LED-based single-photon 3D light field lithography. The experimental scheme of femtosecond two-photon 3D light field lithography starts from delivering uniform femtosecond laser pulses to a spatial light modulator. The designed pixel map is presented on the spatial light modulator and then delivered to a microlens array to construct a 3D virtual image in the free space. By compressing the 3D virtual image in a photoresist layer with a microscope system, we can successfully generate different microscale 3D patterns without relying on scanning processes as in traditional 3D lithography. In this study, we present preliminary results of (a) algorithms developed to generated 3D patterns with femtosecond light, which should satisfy additional constraints when femtosecond light is used, and (b) 3D patterns generated in photoresists with femtosecond two-photon 3D light field lithography.
APA, Harvard, Vancouver, ISO, and other styles
9

Waterman, Justin, Chimaobi Mbanaso, and Gregory Denbeaux. "Vacuum induced photoresist outgassing." In SPIE Advanced Lithography, edited by Frank M. Schellenberg. SPIE, 2008. http://dx.doi.org/10.1117/12.772701.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Nishida, Hideki, Yoriko Nagao, and Akihiko Igawa. "Chemistry of photoresist reclamation." In 23rd Annual International Symposium on Microlithography. SPIE, 1998. http://dx.doi.org/10.1117/12.312444.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Photoresist"

1

Leonhardt, D., S. G. Walton, D. D. Blackwell, D. P. Murphy, and R. F. Fernsler. Photoresist Removal in LAPPS. Fort Belvoir, VA: Defense Technical Information Center, November 2002. http://dx.doi.org/10.21236/ada409109.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Megan Donahue. Conveyorized Photoresist Stripping Replacement for Flex Circuit Fabrication. Office of Scientific and Technical Information (OSTI), February 2009. http://dx.doi.org/10.2172/952451.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Enriquez, Erik, D. Shreiber, E. Ngo, M. Ivill, S. G. Hirsch, C. Hubbard, and M. W. Cole. Optimization of Thick Negative Photoresist for Fabrication of Interdigitated Capacitor Structures. Fort Belvoir, VA: Defense Technical Information Center, April 2015. http://dx.doi.org/10.21236/ada615865.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Takacs, Thomas P., Jeff Pulskamp, and Ronald Polcawich. UV Baked/Cured Photoresist Used as a Sacrificial Layer in MEMS Fabrication. Fort Belvoir, VA: Defense Technical Information Center, February 2005. http://dx.doi.org/10.21236/ada430096.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Goldammer, S. E. Converting printed wiring product processing to aqueous processable dry film photoresist. Final report. Office of Scientific and Technical Information (OSTI), July 1996. http://dx.doi.org/10.2172/266640.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Goldammer, S. Characterization of DuPont 9015, aqueous processable dry film photoresist for printed wiring boards. Topical report. Office of Scientific and Technical Information (OSTI), April 1995. http://dx.doi.org/10.2172/69316.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Donner, Sebastian. Development of Carbon Based optically Transparent Electrodes from Pyrolyzed Photoresist for the Investigation of Phenomena at Electrified Carbon-Solution Interfaces. Office of Scientific and Technical Information (OSTI), January 2007. http://dx.doi.org/10.2172/933140.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Biener, J. Functional Photoresists for Energy Applications. Office of Scientific and Technical Information (OSTI), September 2020. http://dx.doi.org/10.2172/1671178.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Ruelas, Samantha. Optimization of PDMS Photoresin for Three-Dimensional Printng via Projection Micro-Stereolithography. Office of Scientific and Technical Information (OSTI), June 2018. http://dx.doi.org/10.2172/1460080.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography