Journal articles on the topic 'Photolithography'

To see the other types of publications on this topic, follow the link: Photolithography.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Photolithography.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Fang, Yuanxuan, and Yunfei He. "Resolution technology of lithography machine." Journal of Physics: Conference Series 2221, no. 1 (May 1, 2022): 012041. http://dx.doi.org/10.1088/1742-6596/2221/1/012041.

Full text
Abstract:
Abstract Photolithography is one of the core methods in the semiconductor industry for the mass production of integrated circuits (IC). It is also the driving force behind Moore’s Law, which predicts the number of transistors in an integrated circuit to double every two years. This paper aims to overview the photolithography process and its current situations, starting with the rationale behind it and its advantages. We review the photolithography process in individual steps and gave typical process parameters when applicable. Then we introduce the major photolithography system manufacturers of interest, followed by an overview of techniques used to improve the resolution of photolithographic systems, namely immersion lithography, Extreme-Ultraviolet (EUV) lithography, and Resolution Enhancement Techniques (RETs). Finally we discuss the challenges encountered in lithography technology.
APA, Harvard, Vancouver, ISO, and other styles
2

Zeng, Ailin. "The Development of Photolithographic Technology and Machines." SHS Web of Conferences 163 (2023): 03021. http://dx.doi.org/10.1051/shsconf/202316303021.

Full text
Abstract:
Photolithography is the most complicated, accurate, expensive process in the manufacture of integrated circuits. The lithography machine is one of the most critical equipment in photolithographic process, which is used to duplicate the circuit construction onto the wafer. DUVL is the dominant photolithography technology at present for technology node among 714nm, while EUVL has been applied in the manufacture of semiconductor devices for the technology node beyond 7nm. The main components of EUVL are light source, objective lens system and countertop. This paper will introduce the function, main components, exposure method, light source and the future development of lithographic technology.
APA, Harvard, Vancouver, ISO, and other styles
3

Ouyang, Shihong, Yingtao Xie, Dongping Wang, Dalong Zhu, Xin Xu, Te Tan, and Hon Hang Fong. "Surface Patterning of PEDOT:PSS by Photolithography for Organic Electronic Devices." Journal of Nanomaterials 2015 (2015): 1–9. http://dx.doi.org/10.1155/2015/603148.

Full text
Abstract:
Along with the development of organic electronics, conductive polymer of PEDOT:PSS has been attracting more and more attention because they possess various novel electrical, optical, and mechanical properties, which render them useful in modern organic optoelectronic devices. Due to its organic nature, it is lightweight and can be fabricated into flexible devices. For better device processing and integrating, it is essential to tune their surface morphologies, and photolithography is the best choice at present. In this paper, current PEDOT:PSS patterning approaches using photolithography are reviewed, and some of our works are also briefly introduced. Appropriate photolithographic patterning process for PEDOT:PSS will enable its application in future organic electronics.
APA, Harvard, Vancouver, ISO, and other styles
4

Nam, Jiyoon, Youngjoo Lee, Chang Su Kim, Hogyoung Kim, Dong-Ho Kim, and Sungjin Jo. "Serially Connected Micro Amorphous Silicon Solar Cells for Compact High-Voltage Sources." Journal of Nanomaterials 2016 (2016): 1–6. http://dx.doi.org/10.1155/2016/3613928.

Full text
Abstract:
We demonstrate a compact amorphous silicon (a-Si) solar module to be used as high-voltage power supply. In comparison with the organic solar module, the main advantages of the a-Si solar module are its compatibility with photolithography techniques and relatively high power conversion efficiency. The open circuit voltage of a-Si solar cells can be easily controlled by serially interconnecting a-Si solar cells. Moreover, the a-Si solar module can be easily patterned by photolithography in any desired shapes with high areal densities. Using the photolithographic technique, we fabricate a compact a-Si solar module with noticeable photovoltaic characteristics as compared with the reported values for high-voltage power supplies.
APA, Harvard, Vancouver, ISO, and other styles
5

MANSURIPUR, MASUD, and RONGGUANG LIANG. "Projection Photolithography." Optics and Photonics News 11, no. 2 (February 1, 2000): 36. http://dx.doi.org/10.1364/opn.11.2.000036.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Suwandi, Dedi, Yudan Whulanza, and Jos Istiyanto. "Visible Light Maskless Photolithography for Biomachining Application." Applied Mechanics and Materials 493 (January 2014): 552–57. http://dx.doi.org/10.4028/www.scientific.net/amm.493.552.

Full text
Abstract:
Maskless photolithograpy is an alternative method of conventional UV photolithograpy for microfabrication since its advantages of time and cost saving. For this reason, a visible-light based maskless photolithograpy is proposed as a part of biomachining process. Modification of the method is done by replacing light source of UV light to visible light, utilizing commercial DLP projector and changing the material removal process that generally uses echant with biomachining process. The process was done by using the profile generated by computer then displayed through a commercial DLP projector shining speciment test. Focusing lens placed under the projector to draw the focal point and reduces the size of the profile. The best parameter was determined by setring exposure time, developing time, variation profiles, focusing, colors combination and optical aspect. Using a commercial projector maskless photolithography on a negative resist tone successfully performed. The best characteristic was obtained by placing the focusing lens 3X magnification within 3 cm below the projector and 14 cm above speciment test, color combination of black-light blue (R = 0, G = 176, B = 240), with the timing of prebake 1 minute, exposure 7 minutes, postbake 5 minutes, developing 5 minutes produces the smallest profile 166 μm with 13,7 μm deviation. Biomachining process with bacteria Acidithiobacillus ferrooxidans NBRC 14262 on copper was also successfully performed with the smallest profile of 180 μm with 26 μm deviation.
APA, Harvard, Vancouver, ISO, and other styles
7

Md Nor, Mohammad Nuzaihan, Uda Hashim, Taib Nazwa, and A. Rahim Ruslinda. "Fabrication of Poly-Si Nanowire Using Conventional Photolithography Technique." Advanced Materials Research 925 (April 2014): 460–63. http://dx.doi.org/10.4028/www.scientific.net/amr.925.460.

Full text
Abstract:
A simple method for the fabrication of polycrystalline silicon (poly-si) nanowires using conventional photolithography combined with thermal oxidation-size reduction method is presented. In our process, a polysilicon layer is deposited by low pressure chemical vapour deposition technique on SiO2 layer. Conventional photolithograpy is used to define the initial poly-si of dimensions 1 um. In order to miniaturize microwire to nanowire, size reduction method is employed using several time of dry thermal oxidation process. The characterization that is applied to measure the profile of poly-si nanowires using optical microscopy.
APA, Harvard, Vancouver, ISO, and other styles
8

SHR, ARTHUR, ALAN LIU, and PETER CHEN. "A HEURISTIC SCHEDULING APPROACH TO THE DEDICATED MACHINE CONSTRAINT." International Journal on Artificial Intelligence Tools 17, no. 02 (April 2008): 339–53. http://dx.doi.org/10.1142/s0218213008003923.

Full text
Abstract:
The constraint of having a dedicated machine for the photolithography process in semiconductor manufacturing is a new challenge introduced in photolithography machinery due to natural bias. With dedicated machine constraint, the wafer lots passing through each photolithography process have to be processed by the same machine. The purpose of the limitation is to prevent the natural bias of the photolithography machine. However, much research proposed by previous researchers did not discuss the dedicated photolithography machine constraint. In this paper, we propose the Load Balancing (LB) scheduling approach based on a Resource Schedule and Execution Matrix (RSEM) framework to tackle the constraint. The proposed LB approach schedules each wafer lot at the first photolithography stage to a suitable machine according to the load factor of these photolithography machines. We describe the LB approach and the construction process of the RSEM framework. We also present an example to demonstrate our approach and simulation results to validate our approach.
APA, Harvard, Vancouver, ISO, and other styles
9

Fourkas, John T., and John S. Petersen. "2-Colour photolithography." Physical Chemistry Chemical Physics 16, no. 19 (2014): 8731. http://dx.doi.org/10.1039/c3cp52957f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Goodman, Douglas S., and Janusz Wilczynski. "Photolithography illumination needs." Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment 239, no. 3 (September 1985): 403–5. http://dx.doi.org/10.1016/0168-9002(85)90012-9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

AHN, Jinho, and Sangsul LEE. "Principles of Photolithography." Physics and High Technology 20, no. 1/2 (February 28, 2011): 2. http://dx.doi.org/10.3938/phit.20.001.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Wu, Hongkai, Teri W. Odom, and George M. Whitesides. "Reduction Photolithography Using Microlens Arrays: Applications in Gray Scale Photolithography." Analytical Chemistry 74, no. 14 (July 2002): 3267–73. http://dx.doi.org/10.1021/ac020151f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Lee, Ji Hoon, Jin Ho Choi, Sang Ryong Lee, Choon Young Lee, Cheol Woo Park, Gyu Man Kim, and Moon Kyu Kwak. "Vacuum-Free Continuous Fabrication for Forming Narrow Bus Wires." Applied Mechanics and Materials 481 (December 2013): 158–61. http://dx.doi.org/10.4028/www.scientific.net/amm.481.158.

Full text
Abstract:
Photolithography is undoubtedly the most widely used patterning method for the fabrication of micro-and nanofeatures, despite the recent development in some unconventional fabrication techniques that are poised to take over certain applications. But photolithography is still the main fabrication method in industry. To step forward in many applied areas, however, large-area, continuous fabrication system needs to be developed. However photolithography has not been extended to large area continuous patterning like other printing technologies. Photolithography is currently based on wafer scale fabrication and metal deposition process is performed under high vacuum condition. Here we report the development of continuous roll type contact photolithography which is termed photo roll lithography (PRL) and vacuum-free metal filling method. Continuous patterning is achieved was by employing a flexible photomask with Al patterns, which was fabricated by conventional photolithography method. Also, metal nanopowder was stuffed in fabricated PR patterns by puffs, instead of conventional deposition methods. As a feasible application, we demonstrated a fabrication of narrow bus wire for using on display devices.
APA, Harvard, Vancouver, ISO, and other styles
14

Jennane, Jamila, Tanya Boutros, and Richard Giasson. "Photolithography of self-assembled monolayers: optimization of protecting groups by an electroanalytical method." Canadian Journal of Chemistry 74, no. 12 (December 1, 1996): 2509–17. http://dx.doi.org/10.1139/v96-281.

Full text
Abstract:
Patterned surfaces presenting a high density of chemically reactive functional groups can be prepared through photolithography of self-assembled monolayers (SAM). In this paper, we report the synthesis and the evaluation of three reagents that can be used in SAM-photolithographic applications. These reagents are made up of a triethoxysilylpropylamine moiety in which the amine is temporarily blocked by photolabile protecting groups: NVOC (o-nitroveratryloxycarbonyl), ONB (o-nitrobenzyl), or DDZ (α,α-dimethyl-3,5-dimethoxybenzyloxycarbonyl). The presence of the triethoxysilyl group allows self-assembled monolayer formation. Release of chemically reactive amino groups is achieved by irradiation of the surface. An electroanalytical method was developed and used to monitor and optimize the three steps of the methodology occurring on surfaces: monolayer formation, photodeprotection, and subsequent functionalization of the released amino groups. Quantitative information on the efficiency of the photodeprotection step was obtained by this method. It was found that the DDZ group is superior to the ONB and NVOC photolabile protecting groups for SAM-photolithographic applications. Percentages of liberated amino groups are generally above 50% with the DDZ group, while they are generally inferior to 25% and 2% for NVOC and ONB, respectively. These differences are attributed to the destruction of some of the released amino groups through a subsequent reaction with the photoproduct, o-nitrosobenzaldehyde for ONB and 3,4-dimethoxy-6-nitrosobenzaldehyde for NVOC, and to partial loss or destruction of the monolayer during prolonged irradiations. Key words: self-assembled monolayers, surface modification, photolithography, photolabile protecting groups, cyclic voltammetry.
APA, Harvard, Vancouver, ISO, and other styles
15

Park, You Jin, and Ha Ran Hwang. "Minimization of Total Processing Time in Semiconductor Photolithography Process." Applied Mechanics and Materials 325-326 (June 2013): 88–93. http://dx.doi.org/10.4028/www.scientific.net/amm.325-326.88.

Full text
Abstract:
This paper focuses on a scheduling problem in photolithography process of semiconductor manufacturing. The photolithography equipment can be divided into three main parts, that is, scanner, spinner, and developer. Generally, in like manner to the other processes, the identical product types are processed at the same time in photolithography process since a certain amount of recipe change time is required whenever product type is changed. So, in this research, we consider multi-product production case with different processing times and flow recipes, and then attempt to reduce total processing time in photolithography process. From this research, we show that the total processing time can be minimized if we give a variety of input orders of lots and wafers.
APA, Harvard, Vancouver, ISO, and other styles
16

Casalboni, M., L. Dominici, V. Foglietti, F. Michelotti, E. Orsini, C. Palazzesi, F. Stella, and P. Prosposito. "Bragg Grating Optical Filters by UV Nanoimprinting." Journal of Nanomaterials 2012 (2012): 1–5. http://dx.doi.org/10.1155/2012/186429.

Full text
Abstract:
Results on an optical waveguide filter operating in the near IR region are reported. The device consists of a hybrid sol-gel -based grating loaded waveguide, obtained through the merging of conventional photolithography and UV-nanoimprinting. Starting from submicrometric gratings, fabricated by electron beam lithography, a soft mould has been produced and the original structures were replicated onto sol-gel photosensitive films. A final photolithographic step allowed the production of grating-loaded channel waveguides. The devices were optically characterized by transmission measurements in the telecom range 1450–1590 nm. The filter extinction ratio is −11 dB and the bandwidth is 1.7 nm.
APA, Harvard, Vancouver, ISO, and other styles
17

Peter, L. "New classics of photolithography." Nanoindustry Russia, no. 5 (2018): 292–94. http://dx.doi.org/10.22184/1993-8578.2018.84.5.292.294.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

LYU Zhi-jun, 吕志军, 张. 锋. ZHANG Feng, 刘文渠 LIU wen-qu, 董立文 DONG Li-wen, 宋晓欣 SONG Xiao-xin, 崔. 钊. CUI Zhao, 王利波 WANG Li-bo, and 孟德天 MENG De-tian. "Patterning graphene by photolithography." Chinese Journal of Liquid Crystals and Displays 34, no. 1 (2019): 33–38. http://dx.doi.org/10.3788/yjyxs20193401.0033.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Feng, Lang, Joy Romulus, Minfeng Li, Ruojie Sha, John Royer, Kun-Ta Wu, Qin Xu, Nadrian C. Seeman, Marcus Weck, and Paul Chaikin. "Cinnamate-based DNA photolithography." Nature Materials 12, no. 8 (May 19, 2013): 747–53. http://dx.doi.org/10.1038/nmat3645.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Asaumi, Shingo. "Photolithography for micro-electronics." Kobunshi 35, no. 8 (1986): 756–59. http://dx.doi.org/10.1295/kobunshi.35.756.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Qu, Chuang, Chen Zhu, and Edward C. Kinzel. "Modeling of microsphere photolithography." Optics Express 28, no. 26 (December 16, 2020): 39700. http://dx.doi.org/10.1364/oe.406290.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Pathak, H. T., L. Sareen, K. Khurana, and K. C. Chhabra. "Resist Materials for Photolithography." IETE Technical Review 3, no. 3 (March 1986): 73–80. http://dx.doi.org/10.1080/02564602.1986.11437903.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Mosher, L., C. M. Waits, B. Morgan, and R. Ghodssi. "Double-Exposure Grayscale Photolithography." Journal of Microelectromechanical Systems 18, no. 2 (April 2009): 308–15. http://dx.doi.org/10.1109/jmems.2008.2011703.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Mack, Chris A., Dale A. Legband, and Sven Jug. "Data analysis for photolithography." Microelectronic Engineering 46, no. 1-4 (May 1999): 65–68. http://dx.doi.org/10.1016/s0167-9317(99)00016-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Goodberlet, James G., and Bryan L. Dunn. "Deep-ultraviolet contact photolithography." Microelectronic Engineering 53, no. 1-4 (June 2000): 95–99. http://dx.doi.org/10.1016/s0167-9317(00)00272-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Artzner, G. "Solar astrometry by photolithography." Solar Physics 128, no. 1 (July 1990): 281–86. http://dx.doi.org/10.1007/bf00154164.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Rothschild, M. "Photolithography at 193 nm." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 10, no. 6 (November 1992): 2989. http://dx.doi.org/10.1116/1.585958.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Hodgson, John. "Assays a La Photolithography." Nature Biotechnology 9, no. 5 (May 1991): 419. http://dx.doi.org/10.1038/nbt0591-419.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Levenson, Marc D. "Wavefront Engineering for Photolithography." Physics Today 46, no. 7 (July 1993): 28–36. http://dx.doi.org/10.1063/1.881357.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Striccoli, Marinella. "Photolithography based on nanocrystals." Science 357, no. 6349 (July 27, 2017): 353–54. http://dx.doi.org/10.1126/science.aan8430.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Crisalle, Oscar D., Robert A. Soper, Duncan A. Mellichamp, and Dale E. Seborg. "Adaptive control of photolithography." AIChE Journal 38, no. 1 (January 1992): 1–14. http://dx.doi.org/10.1002/aic.690380102.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Eliseev, Andrei A., Nina A. Sapoletova, Irina Snigireva, Anatoly Snigirev, and Kirill S. Napolskii. "Electrochemical X-ray Photolithography." Angewandte Chemie 124, no. 46 (October 11, 2012): 11770–73. http://dx.doi.org/10.1002/ange.201204801.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Voelkel, Reinhard. "Micro-Optics for Photolithography." Optik & Photonik 10, no. 4 (September 2015): 30–33. http://dx.doi.org/10.1002/opph.201500030.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Voelkel, Reinhard. "Micro-Optics for Photolithography." Optik & Photonik 11, no. 2 (April 2016): 45–48. http://dx.doi.org/10.1002/opph.201600019.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Eliseev, Andrei A., Nina A. Sapoletova, Irina Snigireva, Anatoly Snigirev, and Kirill S. Napolskii. "Electrochemical X-ray Photolithography." Angewandte Chemie International Edition 51, no. 46 (October 12, 2012): 11602–5. http://dx.doi.org/10.1002/anie.201204801.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Zheng, Deng-Yun, Meng-Hsiang Chang, Ci-Ling Pan, and Masahito Oh-e. "Effects of O2 Plasma Treatments on the Photolithographic Patterning of PEDOT:PSS." Coatings 11, no. 1 (December 30, 2020): 31. http://dx.doi.org/10.3390/coatings11010031.

Full text
Abstract:
Poly (3,4-ethylenedioxythiophene) polystyrene sulfonate (PEDOT:PSS) is known for its potential to replace indium–tin oxide in various devices. Herein, when fabricating finger-type PEDOT:PSS electrodes using conventional photolithography, the cross-sectional profiles of the patterns are U-shaped instead of rectangular. The films initially suffer from non-uniformity and fragility as well as defects owing to undesirable patterns. Adding a small amount of hydrolyzed silane crosslinker to PEDOT:PSS suspensions increases the mechanical durability of PEDOT:PSS patterns while lifting off the photoresist. To further improve their microfabrication, we observe the effects of two additional oxygen (O2) plasma treatments on conventional photolithography processes for patterning PEDOT:PSS, expecting to observe how O2 plasma increases the uniformity of the patterns and changes the thickness and U-shaped cross-sectional profiles of the patterns. Appropriately exposing the patterned photoresist to O2 plasma before spin-coating PEDOT:PSS improves the wettability of its surface, including its sidewalls, and a similar treatment before lifting off the photoresist helps partially remove the spin-coated PEDOT:PSS that impedes the lift-off process. These two additional processes enable fabricating more uniform, defect-free PEDOT:PSS patterns. Both increasing the wettability of the photoresist patters before spin-coating PEDOT:PSS and reducing its conformal coverage are key to improving the photolithographic microfabrication of PEDOT:PSS.
APA, Harvard, Vancouver, ISO, and other styles
37

Wu, Chun-Ying, Heng Hsieh, and Yung-Chun Lee. "Contact Photolithography at Sub-Micrometer Scale Using a Soft Photomask." Micromachines 10, no. 8 (August 18, 2019): 547. http://dx.doi.org/10.3390/mi10080547.

Full text
Abstract:
This paper proposes a method for improving the patterning resolution of conventional contact photolithography from the micrometer, down to the sub-micrometer scale. The key element is a soft polydimethylsiloxane (PDMS) photomask, which is first replicated from a silicon mold and then patterned with a black photoresist (PR) layer to selectively block ultraviolet (UV) light. This soft PDMS photomask can easily form an intimate and conformable contact with a PR-coated substrate and hence can perform contact photolithography with high pattern resolution. The fabrication processes of this black-PR/PDMS soft photomask are experimentally carried out. Using the fabricated soft photomask, UV patterning by contact photolithography with the smallest line-width of 170 nm over a 4” wafer area was successfully achieved. The advantages and potentials of this new type of contact photolithography will be addressed.
APA, Harvard, Vancouver, ISO, and other styles
38

Mondal, Dipannita. "Review on Holographic Techniques for Photolithography." International Journal of New Practices in Management and Engineering 11, no. 1S (January 20, 2022): 01–03. http://dx.doi.org/10.17762/ijnpme.v11i1s.115.

Full text
Abstract:
Holographic methods utilizing spatial modulators to supplant the covers in photolithographic process. PC produced 3D images got from two pictures with known measurements, reproducing a clear visible field Mask and dark prior mask. The reason for the proposal is to consider the potential outcomes of picture decrease, through a holographic based optical segment arrangement, produced by spatial modulators from a CGH (Computer-created Hologram). Comprehend the outcomes by decreasing the pictures and look at the outcomes acquired. Boundaries, for example, complexity, goal and least size of the pictures produced from the spatial modulators will be broke down so as to decide the conceivable outcomes of progress and the confinements of the procedure applied to photolithography. It is accepted that the uniform and dainty oppose film can be effectively kept on the filaments by utilizing the electro-shower covering innovation. Nonetheless, high electronic voltage and conductive surface are required with the goal that the current smaller scale structures are exposed to harm. The immediate splash covering is liberated from electronic and other harm to substrates yet it is influenced by numerous boundaries including the arrangement thickness and substrate temperature.
APA, Harvard, Vancouver, ISO, and other styles
39

Zhou, Bing Hai. "Elman Neural Network–Based Dynamic Scheduling of Wafer Photolithography Process." Advanced Materials Research 186 (January 2011): 36–40. http://dx.doi.org/10.4028/www.scientific.net/amr.186.36.

Full text
Abstract:
Photolithography area is usually a bottleneck area in a semiconductor wafer manufacturing system (SWMS). It is difficult to schedule photolithography area on real-time optimally. Here, an Elman neural network (ENN)-based dynamic scheduling method is proposed. An ENN-based sample learning algorithm is proposed for selecting best combination of scheduling rules. To illustrate the feasibility and practicality of the presented method, the simulation experiment is developed. A numerical example is use to evaluate the proposed method. Results of simulation experiments show that the proposed method is effective to schedule a complex wafer photolithography process.
APA, Harvard, Vancouver, ISO, and other styles
40

Sirringhaus, H., T. Kawase, and R. H. Friend. "High-Resolution Ink-Jet Printing of All-Polymer Transistor Circuits." MRS Bulletin 26, no. 7 (July 2001): 539–43. http://dx.doi.org/10.1557/mrs2001.127.

Full text
Abstract:
Impressive advances in vapor-phase deposition and photolithographic patterning techniques have been fueling the silicon microelectronics revolution over the last 40 years. However, for many interesting classes of materials, including biological materials or functional synthetic polymers, vacuum deposition and photolithography are not the techniques of choice for producing ordered structures and devices. Many of these materials selfassemble into well-ordered microstructures when deposited from solution, and patterning may be more readily achieved by solution-based selective deposition and direct-printing techniques. It is appealing to consider novel ways of manufacturing functional circuits and devices based on techniques that are similar to printing visual information onto paper.
APA, Harvard, Vancouver, ISO, and other styles
41

Lin, Jianyao, Yu Chen, Yun Ye, Sheng Xu, Tailiang Guo, and Enguo Chen. "Patternable Quantum-Dot Photoresist with High Photolithographic Uniformity." Science of Advanced Materials 13, no. 4 (April 1, 2021): 624–31. http://dx.doi.org/10.1166/sam.2021.3929.

Full text
Abstract:
We present a ligand-exchange-free photo-patternable quantum-dot photoresist (QDPR) with high photolithographic uniformity. The dispersion mechanism between the QD’s surface ligands and the functional groups of photoresist polymers are studied. Results show that the dispersibility and photoluminescent intensity of this QDPR can be both improved by controlling dispersant and antioxidant. For device demonstration, multi-colored quantum dot color conversion films (QDCCF) were prepared and patterned by a photolithography process. High QD dispersibility and film-forming uniformity were both achieved with this QDCCF. It is believed that the proposed QDPR has the potential to be extensively used in lighting or display applications.
APA, Harvard, Vancouver, ISO, and other styles
42

Naggay, Benjamin K., Kerstin Frey, Markus Schneider, Kiriaki Athanasopulu, Günter Lorenz, and Ralf Kemkemer. "Low-cost photolithography system for cell biology labs." Current Directions in Biomedical Engineering 7, no. 2 (October 1, 2021): 550–53. http://dx.doi.org/10.1515/cdbme-2021-2140.

Full text
Abstract:
Abstract Soft lithography, a tool widely applied in biology and life sciences with numerous applications, uses the soft molding of photolithography-generated master structures by polymers. The central part of a photolithography set-up is a mask-aligner mostly based on a high-pressure mercury lamp as an ultraviolet (UV) light source. This type of light source requires a high level of maintenance and shows a decreasing intensity over its lifetime, influencing the lithography outcome. In this paper, we present a low-cost, bench-top photolithography tool based on ninety-eight 375 nm light-emitting diodes (LEDs). With approx. 10 W, our presented lithography set-up requires only a fraction of the energy of a conventional lamp, the LEDs have a guaranteed lifetime of 1000 h, which becomes noticeable by at least 2.5 to 15 times more exposure cycles compared to a standard light source and with costs less than 850 C it is very affordable. Such a set-up is not only attractive to small academic and industrial fabrication facilities who want to enable work with the technology of photolithography and cannot afford a conventional set-up, but also microfluidic teaching laboratories and microfluidic research and development laboratories, in general, could benefit from this cost-effective alternative. With our self-built photolithography system, we were able to produce structures from 6 μm to 50 μm in height and 10 μm to 200 μm in width. As an optional feature, we present a scaled-down laminar flow hood to enable a dust-free working environment for the photolithography process.
APA, Harvard, Vancouver, ISO, and other styles
43

Tyagi, Pawan, Edward Friebe, Beachrhell Jacques, Tobias Goulet, Stanley Travers, and Francisco J. Garcia-Moreno. "Taguchi Design of Experiment Enabling the Reduction of Spikes on the Sides of Patterned Thin Films for Tunnel Junction Fabrication." MRS Advances 2, no. 52 (2017): 3025–30. http://dx.doi.org/10.1557/adv.2017.456.

Full text
Abstract:
ABSTRACTPhotolithographically patterned thin films often possess unwanted spikes along the side edges. These spikes are a significant issue for the development of spinvalve memory, tunnel junction based molecular devices, and micro-electromechanical systems. Here, we report a very simple, economical, and fast way of creating an optimum photoresist profile for the production of spike-free patterned films. This approach is based on performing a soaking step in the positive-photoresist’s developer solution before the UV exposure. However, the success of this method depends on multiple photolithography factors: photoresist thickness (governed by spin speed), soft baking temperature, soaking time in developer, and exposure time. In this paper, we report our systematic experiments to study the effect of these factors by following the L9 experimental scheme of the Taguchi Design of experiment (TDOE) approach. The L9 experiment scheme effectively accommodated the study of four photolithography factors, each with three levels. After performing photolithography as per L9 TDOE scheme, we sputter deposited 20 nm Tantalum to check the side edge profile of the patterned film by atomic force microscope (AFM). We measured the heights of the spikes along the thin film edges. We utilized spike height as the desired property and chose “smaller the better” criteria for the TDOE analysis. TDOE enabled us to understand the relative importance of the parameters, relationship among the parameters, and impact of the various levels of the parameters on the thin film edge profile. TDOE analysis yielded an optimum combination of levels for the four photolithography factors. The optimum combination of photolithography factors included spin speed 4000 rpm, 100 °C soft baking temperature, 60 sec pre-soaking in the developer solution, and 15 sec UV exposure. We validated the TDOE by AFM and observed spike free patterned films. We also made complete tunnel junction devices by utilizing the optimized photolithography factors for the bottom electrode and obtained excellent tunneling behavior. In summary, this study provides a very simple, economical, and fast photolithography approach for creating optimum photoresist profile for the micro-nano scale devices and electromechanical structures.
APA, Harvard, Vancouver, ISO, and other styles
44

Chen, Renzhong, Xuejun Wang, Xin Li, Hongxiang Wang, Mingqian He, Longfei Yang, Qianying Guo, et al. "A comprehensive nano-interpenetrating semiconducting photoresist toward all-photolithography organic electronics." Science Advances 7, no. 25 (June 2021): eabg0659. http://dx.doi.org/10.1126/sciadv.abg0659.

Full text
Abstract:
Owing to high resolution, reliability, and industrial compatibility, all-photolithography is a promising strategy for industrial manufacture of organic electronics. However, it receives limited success due to the absence of a semiconducting photoresist with high patterning resolution, mobility, and performance stability against photolithography solution processes. Here, we develop a comprehensive semiconducting photoresist with nano-interpenetrating structure. After photolithography, nanostructured cross-linking networks interpenetrate with continuous phases of semiconducting polymers, enabling submicrometer patterning accuracy and compact molecular stacking with high thermodynamic stability. The mobility reaches the highest values of photocrosslinkable organic semiconductors and maintains almost 100% after soaking in developer and stripper for 1000 min. Owing to the comprehensive performance, all-photolithography is achieved, which fabricates organic inverters and high-density transistor arrays with densities up to 1.1 × 105 units cm−2 and 1 to 4 orders larger than conventional printing processes, opening up a new approach toward manufacturing highly integrated organic circuits and systems.
APA, Harvard, Vancouver, ISO, and other styles
45

Zhou, Bing Hai. "Kohonen Neural Network – Based Performance Improvements for Wafer Photolithography Process with CONWIP Control Strategy." Applied Mechanics and Materials 44-47 (December 2010): 18–22. http://dx.doi.org/10.4028/www.scientific.net/amm.44-47.18.

Full text
Abstract:
Photolithography is usually the bottleneck process with the most expensive equipment in a semiconductor wafer fabrication system. To improve the performances of the photolithography area with dynamic combination rules, a method of Kohonen neural network (KNN)–based performance improvements is proposed. First, a dynamic scheduling framework based on a KNN model and scheduling rules is proposed. A KNN-based sample learning algorithm for improving the performances is presented. Finally, to demonstrate the validity and feasibility of the proposed method, data from a real wafer fabrication system are used to simulate the proposed method. Results of simulation experiments indicate that the proposed method can be used to improve a complex wafer photolithography performance.
APA, Harvard, Vancouver, ISO, and other styles
46

SANO, Hisatake. "Microfabrication and Plating. Photolithography. Etching." Journal of the Surface Finishing Society of Japan 46, no. 9 (1995): 784–88. http://dx.doi.org/10.4139/sfj.46.784.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

NASIłOWSKA, Barbara, Magdalena NAURECKA, Zdzisław BOGDANOWICZ, and Zygmunt MIERCZYK. "Laser Photolithography of Graphene Oxide." Challenges to national defence in cotemporary geopolitical situation 2020, no. 1 (October 16, 2020): 111–13. http://dx.doi.org/10.47459/cndcgs.2020.14.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Huang, Fujian, Huaguo Xu, Weihong Tan, and Haojun Liang. "Multicolor and Erasable DNA Photolithography." ACS Nano 8, no. 7 (July 7, 2014): 6849–55. http://dx.doi.org/10.1021/nn5024472.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Kamon, Kazuya, Teruo Miyamoto, Yasuhito Myoi, Hitoshi Nagata, Masaaki Tanaka, and Kazuo Horie. "Photolithography System Using Annular Illumination." Japanese Journal of Applied Physics 30, Part 1, No. 11B (November 30, 1991): 3021–29. http://dx.doi.org/10.1143/jjap.30.3021.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Fuller, Gene, Roger Robbins, Maureen Hanratty, and Jimmy Hosch. "Advanced process control for photolithography." Journal of Photopolymer Science and Technology 8, no. 4 (1995): 689–96. http://dx.doi.org/10.2494/photopolymer.8.689.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography