Dissertations / Theses on the topic 'Photolithography'

To see the other types of publications on this topic, follow the link: Photolithography.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Photolithography.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Mosher, Lance Adams. "Double-exposure gray-scale photolithography." College Park, Md.: University of Maryland, 2008. http://hdl.handle.net/1903/8592.

Full text
Abstract:
Thesis (M.S.) -- University of Maryland, College Park, 2008.
Thesis research directed by: Dept. of Electrical and Computer Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
APA, Harvard, Vancouver, ISO, and other styles
2

Cothrel, Helen M. "Photolithography for the Investigation of Nanostructures." Ohio University Honors Tutorial College / OhioLINK, 2015. http://rave.ohiolink.edu/etdc/view?acc_num=ouhonors1429719171.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Jeffries, James R. "Construction implications of photolithography equipment design /." May be available electronically:, 2007. http://proquest.umi.com/login?COPT=REJTPTU1MTUmSU5UPTAmVkVSPTI=&clientId=12498.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Comeau, Benita M. "Fabrication of tissue engineering scaffolds using stereolithography." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2007. http://hdl.handle.net/1853/26564.

Full text
Abstract:
Thesis (Ph.D)--Chemical Engineering, Georgia Institute of Technology, 2008.
Committee Chair: Henderson, Clilfford; Committee Member: Ludovice, Peter; Committee Member: Meredith, Carson; Committee Member: Prausnitz, Mark; Committee Member: Rosen, David; Committee Member: Wang, Yadong. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
5

Lowe, Jimmy K. L. "Synthesis, properties, and photolithography of polythiophene derivatives." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1998. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape10/PQDD_0019/NQ37728.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Wong, Sean Hang Edmond. "Arsenic Trisulfide Inorganic Photoresist for Three-Dimensional Photolithography." [S.l. : s.n.], 2008. http://digbib.ubka.uni-karlsruhe.de/volltexte/1000009084.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Salik, Boaz Yariv Amnon. "Spatio-temporal beam synthesis and applications to photolithography /." Diss., Pasadena, Calif. : California Institute of Technology, 1997. http://resolver.caltech.edu/CaltechETD:etd-01172008-101729.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Leibovici, Matthieu. "Pattern-integrated interference lithography for two-dimensional and three-dimensional periodic-lattice-based microstructures." Diss., Georgia Institute of Technology, 2015. http://hdl.handle.net/1853/54410.

Full text
Abstract:
Two-dimensional (2D) and three-dimensional (3D) periodic-lattice-based microstructures have found multifaceted applications in photonics, microfluidics, tissue engineering, biomedical engineering, and mechanical metamaterials. To fabricate functional periodic microstructures, in particular in 3D, current available technologies have proven to be slow and thus, unsuitable for rapid prototyping or large-volume manufacturing. To address this shortcoming, the new innovative field of pattern-integrated interference lithography (PIIL) was introduced. PIIL enables the rapid, single-exposure fabrication of 2D and 3D custom-modified periodic microstructures through the non-intuitive combination of multi-beam interference lithography and photomask imaging. The research in this thesis aims at quantifying PIIL’s fundamental capabilities and limitations through modeling, simulations, prototype implementation, and experimental demonstrations. PIIL is first conceptualized as a progression from optical interference and holography. Then, a comprehensive PIIL vector model is derived to simulate the optical intensity distribution produced within a photoresist film during a PIIL exposure. Using this model, the fabrication of representative photonic-crystal devices by PIIL is simulated and the performance of the PIIL-produced devices is studied. Photomask optimization strategies for PIIL are also studied to mitigate distortions within the periodic lattice. The innovative field of 3D-PIIL is also introduced. Exposures of photomask-integrated, photomask-shaped, and microcavity-integrated 3D interference patterns are simulated to illustrate the richness and potential of 3D-PIIL. To demonstrate PIIL experimentally, a prototype pattern-integrated interference exposure system is designed, analyzed with the optical design program ZEMAX, and used to fabricate pattern-integrated 2D square- and hexagonal-lattice periodic microstructures. To validate the PIIL vector model, the proof-of-concept results are characterized by scanning-electron microscopy and atomic force microscopy and compared to simulated PIIL exposures. As numerous PIIL underpinnings remain unexplored, research avenues are finally proposed. Future research paths include the design of new PIIL systems, the development of photomask optimization strategies, the fabrication of functional devices, and the experimental demonstration of 3D-PIIL.
APA, Harvard, Vancouver, ISO, and other styles
9

Mack, Chris Alan. "Modeling solvent effects in optical lithography /." Digital version accessible at:, 1998. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Kallitsis, Konstantinos. "Chemical modification of fluorinated electroactive polymers." Thesis, Bordeaux, 2019. http://www.theses.fr/2019BORD0094.

Full text
Abstract:
L'électronique organique est une alternative peu coûteuse à l'électronique classique (à base de silicium) qui permet la fabrication de dispositifs flexibles, élargissant le champ d'application de l'électronique au-delà des limites imposées par le silicium. Pour que l'électronique organique trouve des applications plus larges dans le monde réel, trois classes de matériaux doivent être optimisées. Il s’agit des conducteurs, des semi-conducteurs et des diélectriques, qui constituent les trois éléments de tout appareil électronique. Alors que les conducteurs et les semiconducteurs organiques ont attiré une attention particulière au cours des 40 dernières années, la recherche sur des isolants à constante diélectrique élevée et donc de haute performance est en retard. La famille de matériaux isolants organiques ayant la constante diélectrique la plus élevée sont les polymères électroactifs fluorés (FEPS). Les FEPS peuvent être classés en deux groupes différents avec des propriétés électroniques très différentes. Ces groupes sont les ferroélectriques et les férroélectriques relaxeurs. Les polymères ferroélectriques, dont le plus connu est le copolymère P(VDF-TrFE), trouvent des applications dans des dispositifs électroniques tels que les capteurs, les actionneurs, les mémoires non volatiles et les générateurs d'énergie. D'autre part, les polymères relaxeurs-ferroélectriques, dont le système le plus connu est le terpolymère P(VDFTrFE- CTFE), sont des matériaux isolants à haute performance et trouvent, entre autres, une application dans l'électronique comme couches diélectriques, dans des dispositifs tels que les condensateurs, les transistors organiques à effet de champ, les écrans souples et dans des dispositifs de refroidissement électrocaloriques. Bien que les polymères mentionnés ci-dessus soient compatibles avec une grande variété de techniques d’impression, leur compatibilité limitée avec la photolithographie, qui est la méthode de choix pour la production d’électronique à grande échelle, limite leur potentiel de réalisation. L'un des principaux objectifs de cette thèse était de modifier la chimie de ces polymères, de manière à les rendre directement compatibles avec la photolithographie, tout en maintenant leurs propriétés électroniques. Pour ce faire, il a fallu mettre au point une méthode permettant d’introduire des groupes fonctionnels supplémentaires sur les FEP. Cependant, en raison de l’excellente stabilité chimique des polymères fluorés, la mise au point d’un tel procédé était une tâche ardue. Pour contourner cette difficulté, l’idée est d’exploiter l’existence de groupes susceptibles de réagir lors d’une substitution nucléophile sur le squelette du polymère, tout en utilisant des FEPS disponibles dans le commerce. Tout d'abord, des fonctions azotures, connues pour réticuler lors d'une irradiation UV, ont été fixés sur des terpolymères de relaxeur ferroélectrique P(VDF-TrFE-CTFE). Les terpolymères portant ces fonctions ont pu être directement utilisés comme résine photosensible négative dans les procédés de photolithographie classiques et ont conservé une constante diélectrique très élevée. Dans un second temps, pour des raisons de sécurité et de stabilité, une approche plus générale a été développée. Cette approche consiste à greffer des photo-amorceurs de type II (basés sur des arylcétones) sur le relaxeur-ferroélectrique P(VDF-TrFE-CTFE) et le ferroélectrique P(VDF-TrFE). Des polymères exceptionnellement stables ont été obtenus, avec dans certains cas, des propriétés électro-actives bien meilleures que celles des matériaux purs. Ces modifications chimiques nous ont conduits à une étude de cas particulière où des FEP comportant des doubles liaisons (réaction secondaire de la modification chimique) ont montrés une amélioration remarquable des propriétés électro-actives. Cette méthode très simple de fonctionnalisation de FEPs ouvre la voie à de nombreuses avancées dans le domaine
Organic electronics are a low cost alternative to silicon based electronics that nable the fabrication of flexible devices, broadening the scope of electronics beyond the limitations imposed by silicon. For organic electronics to find wider real world applications, three classes of materials have to be optimized. Those classes are conductors, semiconductors and insulators, which are the three building blocks for any electronic device. While organic conductors and semiconductors have attracted significant attention during the past 40 years, research in high dielectric constant and thus high performance insulators is lagging far behind. The class of organic insulating materials with the highest dielectric constant are the Fluorinated Electroactive Polymers (FEPs). FEPs can be categorized in two different groups with vastly different electronic properties. Those groups are the ferroelectrics and the relaxor-ferroelectrics. The ferroelectric polymers, with main representative the copolymer P(VDF-TrFE) find application in electronic devices such as sensors, actuators, non volatile memories and energy generators. On the other hand, relaxorferroelectric polymers, with main representative the P(VDF-TrFE-CTFE) terpolymer are high performance insulating materials and find application in electronics as dielectric layers, in devices such as capacitors, organic field effect transistors, flexible displays and electrocaloric cooling devices amongst others. Although the polymers mentioned above are compatible with a large variety of printing techniques, their limited compatibility with photolithography, which is the method of choice for large throughput electronics production limits their potential of realization. One of the main aims of this thesis was to alter the chemistry of such polymers, in a way that would make them directly compatible with photolithography, while maintaining their desirable electronic properties. To do so, a method allowing the introduction of additional functional groups on FEPs had to be developed. However, due to the excellent chemical stability of fluorinated polymers, developing such a method was a challenging task. The methods developed, use nucleophilic substitution to attach different functional groups on commercially available FEPs by leveraging the existence of groups prone to substitution on the polymer backbone, bypassing the innate chemical stability of such polymers. First, azido groups, known to cross-link upon irradiation with UV light were attached on relaxor ferroelectric P(VDF-TrFE-CTFE) terpolymers. The terpolymers bearing azido groups were directly used as negative photoresists in conventional photolithography process while maintaining a very high dielectric constant. Second, due to safety and stability issues, a more general approach was followed, consisting in grafting type II photoinitiators (based on aryl ketones) on the relaxorferroelectric P(VDF-TrFE-CTFE) and the ferroelectric P(VDF-TrFE) polymers. In those cases exceptionally stable polymers were obtained, with in some cases improved electroactive properties as compared to the pristine materials. These chemistries led us to an extraordinary case study, where FEPs bearing unsaturation were showing remarkable enchancement in electroactive properties. his very simple method of functionalizing FEPS paves the way to many more advances in the field
APA, Harvard, Vancouver, ISO, and other styles
11

Liu, Chao. "Optical modeling and resist metrology for deep-UV photolithography." Texas A&M University, 2005. http://hdl.handle.net/1969.1/4233.

Full text
Abstract:
This thesis first presents a novel and highly accurate methodology for investigating the kinetics of photoacid diffusion and catalyzed-deprotection of positive-tone chemically amplified resists during post exposure bake (PEB) by in-situ monitoring the change of resist and capacitance (RC) of resist film during PEB. Deprotection converts the protecting group to volatile group, which changes the dielectric constant of resist. So the deprotection rate can be extracted from the change of capacitance. The photoacid diffusivity is extracted from the resistance change because diffusivity determines the rate of change of the acid distribution. Furthermore, by comparing the R and C curves, the dependence of acid diffusivity on reaction state can be extracted. The kinetics of non-Fickean acid transportation, deprotection, free volume generation and absorption/escaping, and resist shrinkage is analyzed and a comprehensive model is proposed that includes these chemical/physical mechanisms. Then in this thesis a novel lithographic technique, liquid immersion contact lithography (LICL) is proposed and the simulations are performed to illustrate its main features and advantages. Significant depth-of-field (DOF) enhancement can be achieved for large pitch gratings with deep-UV light (λ=248nm) illumination with both TM and TE polarizations by liquid immersion. Better than 100nm DOF can be achieved by when printing 70nm apertures. The simulation results show that it is very promising to apply this technique in scanning near field optical microscopy. Finally, a rigorous, full vector imaging model of non-ideal mask is developed and the simulation of the imaging of such a mask with 2D roughness is performed. Line edge roughness (LER) has been a major issue limiting the performance of sub-100nm photolithography. A lot of factors contribute to LER, including mask roughness, lens imperfection, resist chemistry, process variation, etc. To evaluate the effect of mask roughness on LER, a rigorous full vector model has been developed by the author. We calculate the electromagnetic (EM) field immediately after a rough mask by using TEMPEST and simulate the projected wafer image with SPLAT. The EM field and wafer image deviate from those from an ideal mask. LER is finally calculated based on the projected image.
APA, Harvard, Vancouver, ISO, and other styles
12

Pal, Prem, and Kazuo Sato. "Silicon microfluidic channels and microstructures in single photolithography step." IEEE, 2009. http://hdl.handle.net/2237/13917.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Williams, Mark E. (Mark Edd). "Precision six degrees of freedom magnetically-levitated photolithography stage." Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/9850.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Long, Mark K. "Computer aided mask layout synthesis for anisotrophic etch photolithography." Diss., Pasadena, Calif. : California Institute of Technology, 1999. http://resolver.caltech.edu/CaltechETD:etd-02142008-130713.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Wilson, Kerry A. "Application of alkylsilane self-assembled monolayers for cell patterning and development of biolocial [sic] microelectromechanical systems." Orlando, Fla. : University of Central Florida, 2009. http://purl.fcla.edu/fcla/etd/CFE0002631.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Audrain, Margaret T. "Evaluation of SU8 and ruthenium oxide materials for microfluidic devices." Diss., Rolla, Mo. : Missouri University of Science and Technology, 2008. http://scholarsmine.mst.edu/thesis/pdf/Audrain_09007dcc8054efe8.pdf.

Full text
Abstract:
Thesis (M.S.)--Missouri University of Science and Technology, 2008.
Vita. The entire thesis text is included in file. Title from title screen of thesis/dissertation PDF file (viewed August 15, 2008) Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
17

Forti, Frank C. "Black & white continuous tone printing using multiple negative working plates, so that each plate prints an equal segment of a determined density range /." Online version of thesis, 1986. http://hdl.handle.net/1850/8746.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Davis, Claude David. "Effects of exposure on the printing characteristics of the association product process /." Online version of thesis, 1988. http://hdl.handle.net/1850/10317.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

McAdams, Christopher Lee. "Polymers and photoactive compounds for non-chemically amplified deep-UV photoresists /." Full text (PDF) from UMI/Dissertation Abstracts International, 2000. http://wwwlib.umi.com/cr/utexas/fullcit?p3004335.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Baylav, Burak. "Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography /." Online version of thesis, 2010. http://hdl.handle.net/1850/11633.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Mak, Yick-hong Giuseppe. "Aberration sensitivity reduction of alternating phase-shifting mask in photolithography." Click to view the E-thesis via HKUTO, 2004. http://sunzi.lib.hku.hk/hkuto/record/B30721246.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Ducker, Robert Edward. "Scanning Near-Field Photolithography: A Novel Route to Biological Nanostructures." Thesis, University of Sheffield, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.489653.

Full text
Abstract:
The main focus of this project was the nanoscale patterning of self-assembled monolayers (SAMs) of alkanethiols on gold. This has involved continuing development of scanning near-field photolithography (SNP) as a tool for nanofabrication. SNP is a new technique that uses a scanning near-field optical microscope (SNOM) coupled to a UV laser to create nanoscale structures. The maximum resolution of conventional photolithography is 'AJ2 which is governed by the diffraction limit, SNOM can improve this by using a small aperture (50 nm) and holding the probe very close to the sample (10-15 run), thus limiting diffraction. SNP uses this to create features much smaller than the diffraction limit for 244 run light to the order ofA/30 or 9 run. The majority of this work has been on SAMs of alkanethiols on gold, but also alkanethiols on palladium have been used. These basic systems have been studied by various surface science techniques such as contact angle goniometry, atomic force microscopy (AFM), friction force microscopy, X-ray photoelectron spectroscopy, and also surface plasmon resonance to study protein attachment. The attachment of biological molecules was examined by either organic reactions on the surface and photochemical attachment. These were subsequently patterned using micronscale photolithography and SNP. Photolithography of SAMs used UV light to perform photooxidation of surface bound alkanethiols, converting them to alkylsulfonates. Alkylsulfonates are weakly bound to the surface and can be replaced by an opposing thiol and therefore make a bifunctional pattern. This can be used for micronscale patterns. These were also used to pattern biological structures and for the study of alkanethiols as etch resists to a novel etchant (mercaptoethylamine). Using mercaptoethylamine etchant with SNP allowed the fabrication of extremely small structures using a high scanning speed on the SNOM.
APA, Harvard, Vancouver, ISO, and other styles
23

Coenjarts, Christopher. "Photoacid generation and acid mobility: Mechanistic studies relevant to photolithography." Thesis, University of Ottawa (Canada), 2002. http://hdl.handle.net/10393/6362.

Full text
Abstract:
Although highly varied, all of the work in this thesis involves studying acid in photolithographically relevant systems. The objectives of the individual projects never stray far from the central goal of acquiring mechanistic insight into both the photoacid generation process as well as acid mobility in polymer films. It is the ultimate goal of this work to gain a fundamental understanding of chemical processes and reaction mechanisms, in order to apply this knowledge to the evaluation and design of materials for use in photolithography. Techniques for quantifying and locating photogenerated acid in solution and in polymer films were both developed and extensively employed. These techniques are based on the protonation of acid-sensitive dyes and were used for the purposes of studying acid diffusion as well as evaluating photoacid generating efficiencies of photoacid generators (PAGs). A novel technique for monitoring acid desorption from polymer films was developed in order to study the behaviour of acid in polymer films under processing conditions similar to those employed industrially. The kinetics for acid desorption were measured and the factors which control acid mobility were identified. Much of the work presented in this thesis is focused on determining the mechanisms by which PAGs generate acid as well as designing and testing new molecules for use as PAGs. Among the molecules studied in these contexts were sulfones, N-heteroaromatic salts and sulfonium salts tethered to ketones. The key steps towards photoacid generation for these molecules include homolytic bond cleavage, photoinduced electron transfer and triplet energy transfer, respectively.
APA, Harvard, Vancouver, ISO, and other styles
24

Hoggan, Erik Nebeker. "Spin Coating and Photolithography Using Liquid and Supercritical Carbon Dioxide." NCSU, 2002. http://www.lib.ncsu.edu/theses/available/etd-09232002-125551/.

Full text
Abstract:
This thesis details work on the utilization of dense phase carbon dioxide (CO2) in semiconductor processing. In particular, work is presented on the formulation of CO2 soluble photoresists and the spin coating of those photoresists using only liquid CO2 as a solvent. As part of this spin coating work, a novel high-pressure CO2 spin coater was designed and constructed, and the theoretical equations governing its performance were derived. Also discussed in this thesis are 248 and 193 nm exposures of these CO2 spun films and subsequent development in supercritical CO2. Resist stripping was also performed in CO2. In short, this thesis details the first steps towards a complete replacement of all aqueous and organic solvents in the conventional photolithographic processes of spin coating, developing, and resist stripping. This change not only confers significant environmental advantages, but opens up many new avenues in resist chemistry and promises improvements in large scale film uniformity, elimination of feature collapse, elimination of extraneous processing steps, and improved control of the lithographic process.
APA, Harvard, Vancouver, ISO, and other styles
25

Drennan, Patrick G. "Photolithography model parameter extraction from in-situ measured development rates /." Online version of thesis, 1993. http://hdl.handle.net/1850/11452.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Bendounan, Kada. "Analyse optique du processus de mélange dans les milieux poreux réalisés par photolithographie." Vandoeuvre-les-Nancy, INPL, 1998. http://www.theses.fr/1998INPL098N.

Full text
Abstract:
Le transport de soluté dans les milieux poreux a retenu l'intérêt des chercheurs depuis de nombreuses années en raison du grand nombre des applications concernées. Ce travail est consacré à l'étude du processus de mélange dans des milieux poreux saturés. Ces milieux sont fabriqués par photolithographie et sont constitués d'un arrangement aléatoire de cylindres circulaires orthogonaux à une surface support. Pour la fabrication de tels milieux poreux, dans une première étape, les limites et les difficultés de leur fabrication par le procédé classique de la stéréophotolithographie laser point par point sont cités alors que dans une deuxième étape, un nouveau procédé de fabrication par masque est présenté. Deux appareils de réalisation sont utilisés et comparés. Une technique est mise en œuvre pour étudier la dispersion matérielle d'un traceur coloré dans ces milieux. Elle est basée sur le principe de l'absorption de la lumière (loi de beer-lambert) : un rayon lumineux émis par un laser traverse le milieu poreux, son intensité atténuée par la fraction absorbée par le traceur est mesurée dans différents points par un système photonique commandé par des moteurs pas à pas. Pour un milieu poreux aléatoire, on a étudié l'influence de la variation du débit d'écoulement et la concentration initiale du traceur sur le profil temporel de concentration, ainsi que la variation de ce dernier en fonction de la distance par rapport au point d'injection du traceur. Cette méthode de mesure a été étendue à la mesure simultanée en deux points. Elle permet d'accéder aux intercorrélations spatiales entre les profils de concentration pour différentes séparations. Le procédé de fabrication des milieux poreux et la technique de mesure sont particulièrement appropriés à l'étude de la dispersion dans les milieux poreux désordonnés par une étude plus approfondie des échelles temporelles et spatiales représentatives de l'écoulement.
APA, Harvard, Vancouver, ISO, and other styles
27

Ghosh, Joydeep. "Fabrication of laterally stacked spin devices by semiconductor processing." Master's thesis, Universitätsbibliothek Chemnitz, 2013. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-91779.

Full text
Abstract:
This work presents a new approach of fabricating arrays of electrodes, separated by sub-micrometer gaps allowing the systematic investigation of electric properties of organic semiconductors. The laterally stacked devices are fabricated by using a trench isolation technique for separating different electrical potentials, as it is known for micromachining technologies like Single Crystal Reactive Ion Etching and Metallization (SCREAM). The essential part of this process is the patterning of sub-micrometer trenches onto the silicon substrate in a single lithographic step. Afterwards, the trenches are refilled by SiO2 to allow the precise tuning of the electrode separation gap. The metal electrodes are formed via magnetron sputtering. This technological approach allows us to fabricate device structures with a transport channel length in the range of 100-250 nm by conventional photolithography. In this experiment, three different metals like Au, Co, and Ni were used as the electrode materials, while copper phthalocyanine, being deposited by thermal evaporation in high vacuum, was employed as the organic semiconductor under evaluation. The final aim has been study of spin transport through the organic channel in varied geometry.
APA, Harvard, Vancouver, ISO, and other styles
28

Khaldi, Alexandre. "Intégration d'actionneurs à base de polymères conducteurs électroniques pour des applications aux microsystèmes." Phd thesis, Université de Valenciennes et du Hainaut-Cambresis, 2012. http://tel.archives-ouvertes.fr/tel-00718661.

Full text
Abstract:
L'objectif de ce travail est la réalisation de nouveaux microactionneurs à base depolymère conducteur électronique pouvant être envisagés pour une application denanodrone à ailes battantes.Deux réseaux interpénétrés de polymères (RIPs) POE/PTHF (poly(oxyded'éthylène)/polytétrahydrofurane) et POE/NBR (poly(oxyde d'éthylène/Nitrile Butadiene Rubber) ont été synthétisés et caractérisés. Par le contrôle de la synthèse de ces RIPs,une co-continuité de phase des deux réseaux partenaires a pu être obtenue. Ce travail a ainsi permis l'obtention de matériaux combinant les propriétés propres de chaque réseau, une bonne conductivité ionique (POE) et de bonnes propriétés mécaniques (PTHF et NBR). Les propriétés mécaniques du matériau ont permis de réaliser des matériaux polymères support d'électrolyte manipulables avec des épaisseurs inférieures à 10 μm.Des RIPs conducteurs ont pu être élaborés à partir de ces matériaux en incorporant le polymère conducteur électronique (poly(3,4-éthylènedioxythiophène) - PEDOT), par une dispersion non homogène à partir de la surface vers l'intérieur du film. Après incorporation d'un liquide ionique (le 1-éthyl-3-méthylimidazolium bis-(trifluorométhylsulfonyl)imide ou EMImTFSI), ces matériaux électroactifs ont été caractérisés et ont montré qu'ils pouvaient actionner à des fréquences élevées (100Hz) par rapport aux autres dispositifs de ce type.La mise en forme micrométrique de ces matériaux a ensuite été réalisée par un procédé propre aux microsystèmes. Les techniques de photolithographie et de gravure ionique réactive ont été adaptées et étudiées pour l'élaboration de ces microactionneurs. Un mécanisme de dégradation chimique du matériau a été proposé afin d'expliquer l'étape de gravure. Enfin, la caractérisation des microactionneurs a ensuite aussi été réalisée.La force développée par ces microactionneurs est de l'ordre du μN et le pourcentage de déformation est de 1,8 %.
APA, Harvard, Vancouver, ISO, and other styles
29

Chapman, Erin L. "Periodic analysis of critical dimension measurements in the photolithography manufacturing process." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1999. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape8/PQDD_0023/MQ52290.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Vetter, Andreas [Verfasser], and C. [Akademischer Betreuer] Rockstuhl. "Resolution enhancement in mask aligner photolithography / Andreas Vetter ; Betreuer: C. Rockstuhl." Karlsruhe : KIT-Bibliothek, 2020. http://d-nb.info/120321197X/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Winston, Donald S. M. Massachusetts Institute of Technology. "Nodal photolithography : lithography via far-field optical nodes in the resist." Thesis, Massachusetts Institute of Technology, 2008. http://hdl.handle.net/1721.1/43065.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2008.
Includes bibliographical references (p. 53-54).
In this thesis, I investigate one approach - stimulated emission depletion - to surmounting the diffraction limitation of optical lithography. This approach uses farfield optical nodes to orchestrate reversible, saturable optical transitions in certain photoresist compounds. After addressing prior work in resolution enhancement via optical nodes (for metastable atom localization, reversible absorbance modulation, and fluorescence microscopy), I examine the issues of resist formulation, optical pulse width bounds due to resist kinetics, and patterning schemes for low- and high-volume throughput. The experimental realization of stimulated emission depletion is described, and challenges for lithography using this technique are discussed.
by Donald Winston.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
32

Widayatno, Tri. "Micropattern transfer without photolithography of substrate : Ni electrodeposition using enface technology." Thesis, University of Newcastle upon Tyne, 2013. http://hdl.handle.net/10443/2293.

Full text
Abstract:
Since the standard photolithographic patterning technology possesses a number of sustainable issues, a “maskless” technology, Enface, has been proposed. Here, a patterned ‘tool’ placed opposite to the substrate within micrometre range is required. Etching or plating occurs by passing tailored current or voltage waveforms, provided that the electrolyte resistance is high. Enface is a resource efficient process as the use of chemicals is greatly reduced. This research project aimed to investigate the feasibility of Ni pattern transfer using Enface under stagnant conditions. It would be advantageous if Enface could be used for nickel deposition as it is a slow kinetic system and controlled by mixed mass transfer and kinetics which is a system where Enface has never been used before. An electrochemical cell has been specifically designed and an electrolyte was systemically developed as required by Enface. Polarisation experiments were carried out to determine applied current densities that would be used in galvanostatic plating experiments for pattern transfer of millimetre and micron scale features. Deposited features were comprehensively characterised to see the performance of the patterning process. Current distribution during the pattern transfer experiments was investigated by simulation and modelling using Elsy software. An electrolyte of 0.19 M nickel sulfamate was selected and shown to be capable of depositing nickel. Polarisation data from experiments in Enface system showed that each feature size requires a different applied current density. As expected, pattern transfers of metallic nickel were achieved for millimetre and micron scale features at a current efficiency of around 90 % with current spreading were observed. The deposited feature width broadens with increasing time and decreasing feature size. In addition, maximum thickness that could be achieved was around 0.5 μm due to entrapped gas bubbles leading to process termination. The gas bubbles were detrimental to the deposits resulting in a rough and inhomogeneous surface as well as photoresist degradation. Ultrasound agitation was shown to be capable of diminishing the effect of gas bubbles. However it requires an optimisation of applied power density to avoid negative effects of cavitation bubbles. The result of simulation showed a non-uniform current distribution across the feature width with the highest current density at the centre resulting in a bell-shaped surface profile which is in agreement with the experiments. However, the deposited shape evolution obtained from the experiments is consistently much better than those obtained from the simulation.
APA, Harvard, Vancouver, ISO, and other styles
33

Hosseini, Alast Fatemeh. "Active plasmonic nanostructures /Fatemeh Hosseini Alast." HKBU Institutional Repository, 2017. http://repository.hkbu.edu.hk/etd_oa/369.

Full text
Abstract:
In principle, the surface plasmon polaritons, at the planar metal/dielectric interface, cannot be excited by incident light. However momentum transfer from incident light to Surface Plasmon Polaritons (SPPs) inside the light line can be achieved by adding a periodic structure at the interface. The lattice wave vector can compensate the difference between incident light and surface wave momentum and satisfy momentum matching requirement. Two methods are commonly used to achieve this goal: first, using prism and second, surface engineering using different array apertures at the metal/dielectric interfaces. In this thesis, the ruled grating pattern at the metal/dielectric interface using conventional photolithography technique was fabricated. The dimension of ruled grating pattern is proportional to expanding/collimating system in the interference set-up. In fact, a large area grating can be utilized for many optoelectronic applications with greater efficiency. In this work, large area grating pattern, 10×10 mm2, on top of the microcavity structure was integrated that permitting cavity mode-SPP coupling. Hence, Rabi-like splitting was observed from the hybrid plasmonic microcavity. The splitting was created from the coupling of cavity mode with the surface plasmon polariton mode; anti-crossing was observed alongside the modal conversional channel on the reflection light measurement. In following, it was experimentally explored the effect of using organic fluorescent molecules inside the hybrid plasmonic microcavity. Accordingly we integrated large area ruled metal grating onto photonic microcavity and assessed the cavity mode-SPP coupling with reflectivity measurement. We got much more grounded modal coupling in presence of florescent molecules within photonic cavity. The anti-crossing was detected with enormous Rabi-like splitting energy at 280 meV in the strong coupling regime. Besides we compared the coupling strength of plasmonic microcavities with various cavity lengths to explore the absorption impact.
APA, Harvard, Vancouver, ISO, and other styles
34

Bourov, Anatoly. "A systematic approach to determining the limits of nanolithography at extreme NA /." Online version of thesis, 2008. http://hdl.handle.net/1850/8418.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Underwood, William David. "A thin film polymer system for the patterning of amines through thermochemical nanolithography." Thesis, Atlanta, Ga. : Georgia Institute of Technology, 2009. http://hdl.handle.net/1853/31832.

Full text
Abstract:
Thesis (M. S.)--Chemistry and Biochemistry, Georgia Institute of Technology, 2010.
Committee Chair: Marder, Seth; Committee Member: Curtis, Jennifer; Committee Member: Riedo, Elisa. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
36

Mazzupappa, Ross Joseph. "A process overview of faux-to-gravure and paper films for photolithography." Thesis, University of Iowa, 2015. https://ir.uiowa.edu/etd/1690.

Full text
Abstract:
Tradition begins with innovation. The rich and complicated history of Printmaking is shaped by invention and discovery. I see this advancement for the sole purpose to distribute knowledge and ideas to every person regardless of prosperity and status. At its core intention is the need to make art and knowledge accessible. The procedural research I have been exploring along side my artist research was designed to do just as invention in printmaking has done before. I have applied my knowledge and availability of modern technology with traditional ways print artists create. These new processes are geared to make photomechanical practices in lithography and intaglio cheaper and more accessible to artists and students with limited resources. I have also included a statement about the artwork that has been able to be developed because of this research to provide context for my artistic practice.
APA, Harvard, Vancouver, ISO, and other styles
37

Cropanese, Frank C. "Synthesis of low k1 projection lithography utilizing interferometry /." Link to online version, 2005. https://ritdml.rit.edu/dspace/handle/1850/1235.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Ma, Xu. "Generalized inverse lithography methods for phase-shifting mask design." Access to citation, abstract and download form provided by ProQuest Information and Learning Company; downloadable PDF file, 38 p, 2007. http://proquest.umi.com/pqdweb?did=1338919391&sid=3&Fmt=2&clientId=8331&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Mak, Yick-hong Giuseppe, and 麥易康. "Aberration sensitivity reduction of alternating phase-shifting mask inphotolithography." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2004. http://hub.hku.hk/bib/B30721246.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Bay, Christoph. "Dynamic holographic masks for adaptive optical lithography." Thesis, University of Cambridge, 2012. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.609954.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Hand, Davis Kyle. "A Manufacturing Process for Single Micron Resolution Optical Gratings Used in X-ray Computed Tomography." Thesis, Virginia Tech, 2011. http://hdl.handle.net/10919/33804.

Full text
Abstract:
X-ray Computed Tomography (CT) is a process that produces three-dimensional x-ray images, allowing for better diagnosis and analysis of complex internal medical conditions. New advances in the optical techniques used in this process promise to produce better results while reducing patient risk. One of these developments calls for precise optical gratings that can be expensive and difficult to manufacture. This paper presents a simple process developed specifically for the production of these gratings using cost effective techniques. The process uses well understood semiconductor fabrication steps including oxidation, deep reactive ion etching and electroplating. While not entirely successful, the process presented within provides a proof of concept for development of the gratings and discusses improvements that could be made to allow for success.
Master of Science
APA, Harvard, Vancouver, ISO, and other styles
42

Huang, Yu-Chang, and 黃育昌. "Applying FDC of Photolithography Overlay Error to Improve OEE of Photolithography Process." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/90366126626490367816.

Full text
Abstract:
碩士
國立交通大學
工學院碩士在職專班精密與自動化工程學程
94
Semiconductor wafer size has been increased from 8 inch to 12 inch, line width in the IC wafer has been reduced from micrometer to nanometer range. The bigger the wafer size and the smaller the line width, the more complicated the wafer manufacturing process. Photolithography process is the key process in reducing line width in IC wafer. There are three kinds of photolithography process inspection, i.e. overlay error, critical dimension (CD) and after develop Inspection (ADI), the yield of photolithography process depends on these three parameters. This research focuses on overlay error. Applying the concept of Neural Network and Fault Detection and Classification, we establish input layer data and output layer data of Neural Network with data collected from actual production run of IC wafer. Using input layer data and output layer data to train and adjust the number of neuron members and the associated weighting factors in the hidden layer, we can establish the nonlinear relationship between input and output data of Neural Network. We then verify the Neural Network model with new sets of data from IC wafer production run. Using the monitor wafer overlay error data from photolithography equipment as input data and the overlay error data from product wafer as output data, we can predict the overlay error data of future product wafer. Through simplification of photolithography inspection process of product wafer, we can improve the Overall Equipment Effectiveness (OEE) of photolithography equipments.
APA, Harvard, Vancouver, ISO, and other styles
43

劉德庠. "Overlay control in photolithography." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/94329478492310061421.

Full text
Abstract:
碩士
大葉大學
自動化工程學系碩士班
91
According to the demand of field transformation recently, the semiconductor has become one of the main field in Taiwan, and the improvement of fabrication processes is necessary to progress. The technology of Lithography is more important when the Critical Dimension is getting smaller. Any positioning mismatch between layers during exposure process results in overlay error. Firstly, it can be divided into Interfield and Intrafield to explore the resource of overlay error in this research, and to analyze reasons and physical-meaning are caused by each error coefficient. Some researchers have examined the parameters of overlay error by the method of least square. However, the controllable order terms can affect to accuracy of the parameter estimation if only the uncontrollable order terms as considered. Therefore, the method of this research is that the model of overlay error can be discussed two parts: controllable parameters and uncontrollable parameters. The Lagrange method is then utilized to find out the maximum of uncontrollable parameters. In other words, the possibly maximal inherent equipment error is calculated. Finally, the data collected from the measuring machine in the practical experiment apply to the practical example in order to count the value of parameter of an area and whole area. Through the comparison between both to understand the exposuring situation of whole wafer, that can enhance the judgement of engineers and also reach the goal of improving the process quality, raising the efficiency of overall equipment.
APA, Harvard, Vancouver, ISO, and other styles
44

Pan, Chung-Chih, and 潘柊之. "Pattern Decomposition for Photolithography Simulation." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/95472403792656837211.

Full text
Abstract:
碩士
國立暨南國際大學
電機工程學系
98
As the narrower line width is closed to the optical limitation in semiconductor manufacturing process, the quality of imaging in optical lithography becomes even more important. There are many optical techniques to correct the imaging quality. Photolithography simulation software is an important tool to evaluate the effectiveness of such optical correction techniques. SPLAT is one of the useful and available tool for photolithography simulation. However, only rectangle and triangle but polygon patterns are acceptable for SPLAT input. In this thesis, a pattern decomposition process is developed to effectively decompose polygon patterns into rectangle or triangle patterns for SPLAT. By employing rectangle-first cut and decomposition point selection schemes, the pattern decomposition process results fewer numbers of decomposed patterns to save the running time and memory for backend software.
APA, Harvard, Vancouver, ISO, and other styles
45

Wang, Hsing-Kai, and 王星凱. "Photolithography glass passivation process improvement." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/90041955442149126931.

Full text
Abstract:
碩士
國立臺灣海洋大學
電機工程學系
100
Abstract In this thesis glass passivation process(GPP) use to improve the device leakage current capacity. By photolithography and glass firing process to achieve it’s purpose. The subject matter of this research, TVS(Transient Voltage Suppressor) greater than 100 volts of plant components, the main wafer 4inch、die size is 80mil, the purpose of this research focus on lithography process, use design of experiment (DOE) system to define the best parameters of process and effectively enhance the process stability and yield of device. The main results of this study, the glass passivation process improvement to optimize the parameter settings in the experimental design, mass production trial production results, the glass under cut to improve by more than 50%.
APA, Harvard, Vancouver, ISO, and other styles
46

Schmid, Gerard Michael. "Understanding molecular scale effects during photoresist processing." 2003. http://wwwlib.umi.com/cr/utexas/fullcit?p3116179.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Trinque, Brian C. "Synthesis, copolymerization studies and 157 nm photolithography applications of 2-trifluoromethylacrylates." Thesis, 2003. http://wwwlib.umi.com/cr/utexas/fullcit?p3116210.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Ismail, Ahmad G. "Photolithography Patterning of Complementary Organic Circuits." Thesis, 2011. http://hdl.handle.net/10222/13691.

Full text
Abstract:
The application of organic electronics to display technologies, large area electronic paper (or plastics), organic light emitting diodes (OLEDs), organic solar cells, radio frequency identification tags, smart cards and chemical sensors has received a great deal of attention in recent years. The main advantages of using organic semiconductors (OSCs) are lowcost, low processing temperature, flexibility, light weight and rugged design. The substantial progress in this field has been driven not just by existing technologies, such as flat panel displays, but also by new applications, such as flexible solid-state lighting, lowcost printed integrated circuits, and plastic solar cells, to name a few. Performance-wise, organic thin-film transistors (OTFTs) are on par with their a-Si (amorphous silicon) counterparts. Since OTFT fabrication offers lower processing temperatures and lower cost, it has the potential to replace a-Si in the near future. To date, all organic complementary circuits have used stencil mask patterning. Stencil mask patterning is not practical for mass manufacturing; hence, a way to pattern organic complementary metal-oxide-semiconductor (O-CMOS) using photolithography is paramount. This is the goal of this thesis. In this dissertation the design and fabrication of improved OTFTs for electronic displays and complementary circuits are illustrated. Here, we demonstrated OTFTs that have excellent stability; hence, they are more suitable for the above-mentioned electronic applications. In addition, for the first time, successful photolithographic patterning of an n-channel organic semiconductor is demonstrated. These important results have enabled us to integrate the n-channel and p-channel organic materials using a complete photolithographic process in realizing O-CMOS.
APA, Harvard, Vancouver, ISO, and other styles
49

Mesch, Ryan Alan. "Catalysis and materials development for photolithography." Thesis, 2014. http://hdl.handle.net/2152/31308.

Full text
Abstract:
In recent years the microelectronics industry as found itself at an impasse. The tradition pathway towards smaller transistors at lower costs has hit a roadblock with the failure of 157 nm lithography and the continued delays in 13.5 nm extreme ultra violet light sources. While photolithography has been able to keep pace with Moore’s law over the past four decades, alternative patterning technologies are now required to keep up with market demand. The first section of this dissertation discusses the new resolution enhancement technique develop in the Willson lab termed pitchdivision. Through the incorporation of specifically tailored photobase generators (PBGs) into commercially available resists, the resolution of current 193 tools may be doubled. Special two-stage PBGs were designed and synthesized to increase the image fidelity of pitchdivision patterns. The next project deals with the design, synthesis, and evaluation of resists that find amplification through unzipping polymers. An aromatizing polyester polymer that acts as dissolution inhibitor in novolac and is inherently sensitive to 13.5 nm exposure is discussed. Initial results show excellent sensitivity and promise towards a new class of EUV resists.
text
APA, Harvard, Vancouver, ISO, and other styles
50

Lin, Chih-Han, and 林志翰. "Standing Wave Effect in Photolithography Improvement." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/67683052927635845114.

Full text
Abstract:
碩士
國立中興大學
機械工程學系所
97
Photolithography is a resolution for transferring a circuit pattern to photoresists. And, in transferring, keep a photo shape without loss (alignment precision) is an important issue. However, when a light entered different surfaces, the reflect light is a variable in the light exposure system which we unable to control and it will result in a huge effect on the shape of the exposed photo in the photoresists. Based on this reason, how to reduce the effect of reflect light is a research issue in the advanced photolithography techniques. In this thesis, we describe the principles of photolithography techniques and focus on the standing-wave effect improvement in photolithography manufacture. Then, we develop a headling procedure which included two parts. First, we use KrF-247nm excimer laser to be a bottom anti-reflective coating and filled with the photoresist (DUV42P-312) to generate an anti-reflective coating for decreasing the reflection rate of specimens. Second, we focus on the compensation of the phenomenon in the standing wave which generated by the interference of reflection and incidence. We create a process with post exposure bake to re-arrange the fabrication of photoresists and reduce the standing wave effects. In the light of the above, we have restrained the standing wave effects to the lowest swing ratio and reduced their errors. In our experiments, we will get a better rate of production and have an enhanced improvement in the photolithography manufacture.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography