Journal articles on the topic 'Photolithographie UV'

To see the other types of publications on this topic, follow the link: Photolithographie UV.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Photolithographie UV.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Ballandras, S., and D. Hauden. "Applications aux microtechniques de la photolithographie profonde par UV et par rayonnement synchrotron." Annales de Physique 19 (October 1994): C1–73—C1–85. http://dx.doi.org/10.1051/anphys/1994022.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Casalboni, M., L. Dominici, V. Foglietti, F. Michelotti, E. Orsini, C. Palazzesi, F. Stella, and P. Prosposito. "Bragg Grating Optical Filters by UV Nanoimprinting." Journal of Nanomaterials 2012 (2012): 1–5. http://dx.doi.org/10.1155/2012/186429.

Full text
Abstract:
Results on an optical waveguide filter operating in the near IR region are reported. The device consists of a hybrid sol-gel -based grating loaded waveguide, obtained through the merging of conventional photolithography and UV-nanoimprinting. Starting from submicrometric gratings, fabricated by electron beam lithography, a soft mould has been produced and the original structures were replicated onto sol-gel photosensitive films. A final photolithographic step allowed the production of grating-loaded channel waveguides. The devices were optically characterized by transmission measurements in the telecom range 1450–1590 nm. The filter extinction ratio is −11 dB and the bandwidth is 1.7 nm.
APA, Harvard, Vancouver, ISO, and other styles
3

Guijt, Rosanne M., and Michael C. Breadmore. "Maskless photolithography using UV LEDs." Lab on a Chip 8, no. 8 (2008): 1402. http://dx.doi.org/10.1039/b800465j.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Noniewicz, Konrad, Zbigniew K. Brzozowski, and Irmina Zadrozna. "UV-sensitive polyarylates as photolithographic emulsions." Journal of Applied Polymer Science 60, no. 7 (May 16, 1996): 1071–82. http://dx.doi.org/10.1002/(sici)1097-4628(19960516)60:7<1071::aid-app19>3.0.co;2-3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Magklaras, Aris, Panayiotis Alefragis, Christos Gogos, Christos Valouxis, and Alexios Birbas. "A Genetic Algorithm-Enhanced Sensor Marks Selection Algorithm for Wavefront Aberration Modeling in Extreme-UV (EUV) Photolithography." Information 14, no. 8 (July 28, 2023): 428. http://dx.doi.org/10.3390/info14080428.

Full text
Abstract:
In photolithographic processes, nanometer-level-precision wavefront-aberration models enable the machine to be able to meet the overlay (OVL) drift and critical dimension (CD) specifications. Software control algorithms take as input these models and correct any expected wavefront imperfections before reaching the wafer. In such way, a near-optimal image is exposed on the wafer surface. Optimizing the parameters of these models, however, involves several time costly sensor measurements which reduce the throughput performance of the machine in terms of exposed wafers per hour. In that case, photolithography machines come across the trade-off between throughput and quality. Therefore, one of the most common optimal experimental design (OED) problems in photolithography machines (and not only) is how to choose the minimum amount of sensor measurements that will provide the maximum amount of information. Additionally, each sensor measurement corresponds to a point on the wafer surface and therefore we must measure uniformly around the wafer surface as well. In order to solve this problem, we propose a sensor mark selection algorithm which exploits genetic algorithms. The proposed solution first selects a pool of points that qualify as candidates to be selected in order to meet the uniformity constraint. Then, the point that provides the maximum amount of information, quantified by the Fisher-based criteria of G-, D-, and A-optimality, is selected and added to the measurement scheme. This process, however, is considered “greedy”, and for this reason, genetic algorithms (GA) are exploited to further improve the solution. By repeating in parallel the “greedy” part several times, we obtain an initial population that will be the input to our GA. This meta-heuristic approach outperforms the “greedy” approach significantly. The proposed solution is applied in a real life semiconductors industry use case and achieves interesting industry as well as academical results.
APA, Harvard, Vancouver, ISO, and other styles
6

Gordillo, H., I. Suárez, R. Abargues, P. Rodríguez-Cantó, S. Albert, and J. P. Martínez-Pastor. "Polymer/QDs Nanocomposites for Waveguiding Applications." Journal of Nanomaterials 2012 (2012): 1–9. http://dx.doi.org/10.1155/2012/960201.

Full text
Abstract:
In this paper we review our recent progress in a still young type of active waveguides based on hybrid organic (polymer)—inorganic (semiconductor quantum dots) materials. They can be useful for the implementation of new photonic devices, because combining the properties of the semiconductor nanostructures (quantum size carrier confinement and temperature independent emission) with the technological capabilities of polymers. These optical waveguides can be easily fabricated by spin-coating and UV photolithography on many substrates (SiO2/Si, in the present work). We demonstrate that it is possible to control the active wavelength in a broad range (400–1100 nm), just by changing the base quantum dot material (CdS, CdSe, CdTe and PbS, but other are possible), without the necessity of changing fabrication conditions. Particularly, we have determined the optimum conditions to produce multi-color photoluminescence waveguiding by embedding CdS, CdSe and CdTe quantum dots into Poly(methyl methacrylate). Finally, we show new results regarding the incorporation of CdSe nanocrystals into a SU-8 resist, in order to extrapolate the study to a photolithographic and technologically more important polymer. In this case ridge waveguides are able to confine in 2D the light emitted by the quantum dots.
APA, Harvard, Vancouver, ISO, and other styles
7

Wu, Chun-Ying, Heng Hsieh, and Yung-Chun Lee. "Contact Photolithography at Sub-Micrometer Scale Using a Soft Photomask." Micromachines 10, no. 8 (August 18, 2019): 547. http://dx.doi.org/10.3390/mi10080547.

Full text
Abstract:
This paper proposes a method for improving the patterning resolution of conventional contact photolithography from the micrometer, down to the sub-micrometer scale. The key element is a soft polydimethylsiloxane (PDMS) photomask, which is first replicated from a silicon mold and then patterned with a black photoresist (PR) layer to selectively block ultraviolet (UV) light. This soft PDMS photomask can easily form an intimate and conformable contact with a PR-coated substrate and hence can perform contact photolithography with high pattern resolution. The fabrication processes of this black-PR/PDMS soft photomask are experimentally carried out. Using the fabricated soft photomask, UV patterning by contact photolithography with the smallest line-width of 170 nm over a 4” wafer area was successfully achieved. The advantages and potentials of this new type of contact photolithography will be addressed.
APA, Harvard, Vancouver, ISO, and other styles
8

Critchley, Kevin, Lixin Zhang, Hitoshi Fukushima, Masaya Ishida, Tatsuya Shimoda, Richard J. Bushby, and Stephen D. Evans. "Soft-UV Photolithography using Self-Assembled Monolayers." Journal of Physical Chemistry B 110, no. 34 (August 2006): 17167–74. http://dx.doi.org/10.1021/jp0630370.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Horiuchi, S., T. Fujita, T. Hayakawa, and Y. Nakao. "Micropatterning of Metal Nanoparticles via UV Photolithography." Advanced Materials 15, no. 17 (September 3, 2003): 1449–52. http://dx.doi.org/10.1002/adma.200305270.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Zaki, M., Uda Hashim, Mohd Khairuddin Md Arshad, M. Nurfaiz, M. F. M. Fathil, A. H. Azman, and R. M. Ayub. "Optimization on Conventional Photolithography Process of 0.98 μm Gap Design for Micro Gap Biosensor Application." Applied Mechanics and Materials 754-755 (April 2015): 524–29. http://dx.doi.org/10.4028/www.scientific.net/amm.754-755.524.

Full text
Abstract:
.Pattern design transfer is the most crucial step in fabrication. Even a small mistake in fabrication can result in device damage or poor performance. To ensure the device performs perfectly, exact design and dimension pattern should be perfectly transferred onto wafer substrate. In this paper, optimization of conventional photolithography process of 0.98μm gap design for micro gap biosensor application is presented. The micro gap pattern on chrome mask is used and the effect of coating profile, UV light, and Post Exposure Bake (PEB) process are investigated. The conventional photolithography process (using a micro gap mask) starts after the silicon oxide, polysilicon and aluminium have been deposited on top of the substrate. Each set of experiment conducted by pairing the element investigated coating profile, UV light, and PEB, with the normal specification of photolithography process. It was observed that 0.98μm gap size can be achieved by choosing suitable process parameters i.e. thickness of coating profile, time and temperature used for UV light and PEB.
APA, Harvard, Vancouver, ISO, and other styles
11

Lowe, Jimmy, Carl Bartels, and Steven Holdcroft. "Synthesis and properties of a sterically encumbered poly(thienylene vinylene): poly[E-1,2-(4,4prime-dihexyl-2,2prime-dithienyl)ethylene]." Canadian Journal of Chemistry 76, no. 11 (November 1, 1998): 1524–29. http://dx.doi.org/10.1139/v98-110.

Full text
Abstract:
Electrically conducting poly[E-1,2-(4,4prime-dihexyl-2,2prime-dithienyl)ethylene] was synthesized and structurally characterized by UV-visible, 1H NMR, and FTIR spectroscopy. The head-to-head arrangement of the alkylthiophene dyads directly affects the UV-visible, photoluminescence, and electroluminescence maxima of the polymer. The conductivity of the doped polymer was measured to be ~2 S cm-1 and the stability of the oxidized polymer possessing various dopants was compared. UV-visible irradiation of PDHDTE rendered the films insoluble and photolithography was used to produce micron-size images. FTIR was used to monitor the photoproducts during photolysis of PDHDTE. Mechanisms of photooxidation were proposed, based on the photoproducts observed.Key words: polythiophene derivative, head-to-head dyad, photolithography, photooxidation, electroluminescence.
APA, Harvard, Vancouver, ISO, and other styles
12

Goodall, F., RA Lawes, and PH Sharp. "Excimer lasers as deep UV sources for photolithographic system." Microelectronic Engineering 5, no. 1-4 (December 1986): 445–52. http://dx.doi.org/10.1016/0167-9317(86)90075-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Roth, S., L. Dellmann, G.-A. Racine, and N. F. de Rooij. "High aspect ratio UV photolithography for electroplated structures." Journal of Micromechanics and Microengineering 9, no. 2 (January 1, 1999): 105–8. http://dx.doi.org/10.1088/0960-1317/9/2/001.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Montague, Martha F., and Craig J. Hawker. "Secondary Patterning of UV Imprint Features by Photolithography." Chemistry of Materials 19, no. 3 (February 2007): 526–34. http://dx.doi.org/10.1021/cm0622102.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Lamprecht, B., E. Kraker, G. Weirum, H. Ditlbacher, G. Jakopic, G. Leising, and J. R. Krenn. "Organic optoelectronic device fabrication using standard UV photolithography." physica status solidi (RRL) – Rapid Research Letters 2, no. 1 (January 2008): 16–18. http://dx.doi.org/10.1002/pssr.200701250.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Tam, Joyce, and Ozlem Yasar. "Multi Material 3D Scaffold Printing with Maskless Photolithography." MRS Advances 2, no. 24 (2017): 1303–8. http://dx.doi.org/10.1557/adv.2017.21.

Full text
Abstract:
ABSTRACTIn today’s technology, organ transplantation is found very challenging as it is not easy to find the right donor organ in a short period of time. In the last several decades, tissue engineering was rapidly developed to be used as an alternative approach to the organ transplantation. Tissue engineering aims to regenerate the tissues and also organs to help patients who waits for the organ transplantation. Recent research showed that in order to regenerate the tissues, cells must be seeded onto the 3D artificial laboratory fabricated matrices called scaffolds. If cells show healthy growth within the scaffolds, they can be implanted to the injured tissue to do the regeneration. One of the biggest limitation that reduces the success rate of tissue regeneration is the fabrication of accurate thick 3D scaffolds. In this research “maskless photolithography” was used to fabricate the scaffolds. Experiment setup consist of digital micro-mirror devices (DMD) (Texas Instruments, DLi4120), optical lens sets, UV light source (DYMAX, BlueWave 200) and PEGDA which is a liquid form photo-curable solution. In this method, scaffolds are fabricated in layer-by-layer fashion to control the interior architecture of the scaffolds. Working principles of the maskless photolithography is, first layer shape is designed with AutoCAD and the designed image is uploaded to the DMD as a bitmap file. DMD consists of hundreds of tiny micro-mirrors. When the UV light is turned on and irradiated the DMD, depending on the micro-mirrors’ angles, UV light is selectively reflected to the low percentage Polyethylene (glycol) Diacrylate (PEGDA) photo-curable solution. When UV light penetrates into the PEGDA, only the illuminated part is solidified and non-illuminated area still remains in the liquid phase. In this research, scaffolds were fabricated in three layers. First layer and the last layer are solid layers and y-shape open structure was sandwiched between these layers. After the first layer is fabricated with DMD, a “y-shape” structure was fabricated with the 3D printer by using the dissolvable filament. Then, it was placed onto the first solid layer and covered with fresh high percentage PEGDA solution. UV light was reflected to the PEGDA solution and solidified to make the second and third layers. After the scaffold was fabricated, it is dipped into the limonene solution to dissolve the y-shape away. Our results show that thick scaffolds can be fabricated in layer-by-layer fashion with “maskless photolithography”. Since the UV light is stable and does not move onto the PEGDA, entire scaffold can be fabricated in one single UV shot which makes the process faster than the current fabrication techniques.
APA, Harvard, Vancouver, ISO, and other styles
17

Araki, Hitoshi, Akira Shimada, Hisashi Ogasawara, Masaya Jukei, Takenori Fujiwara, and Masao Tomikawa. "Low Temperature Curable Low Dk & Df Polyimide for Antenna in Package." International Symposium on Microelectronics 2021, no. 1 (October 1, 2021): 000130–35. http://dx.doi.org/10.4071/1085-8024-2021.1.000130.

Full text
Abstract:
Abstract In this paper, we developed novel low temperature curable (around 200~250 °C) low Dk (2.7) & Df (0.002) polyimide with high glass transition temperature (170 °C) and elongation (100%). We also developed negative tone photosensitive polyimide with low Dk (3.0) & Df (0.007) by photo initiator and cross linker. Material types of them are liquid or B-stage sheet materials. Patterning methods of the non-photosensitive polyimides were imprint and UV laser ablation. Resolution of those process were 10um via and 30um via respectively. Photosensitive polyimide was patterned by photolithographic tool. We fabricated fine patterned polyimide of photosensitive polyimide by photolithography. We investigated the frequency dependence of the novel low Dk & Df polyimide up to 95 GHz, and confirmed that Df gradually increased from 0.002 to 0.005 as the frequency increased. To confirm effect of the novel polyimide, insertion loss of micro-strip line whose length was 10 mm were measured using the new developed polyimide. Insertion loss (S21 parameter) of the novel polyimide was 0.8 and that was less than half of conventional polyimide. RDL structure was fabricated by novel low Dk and Df polyimide and we tested bump shear strength after thermal cycle test. All shear mode were ductile solder failure without polyimide delamination. Because our novel polyimides show excellent dielectric, thermal and mechanical properties, they are suitable to insulator of RDL for FO-AiP.
APA, Harvard, Vancouver, ISO, and other styles
18

Tyagi, Pawan, Edward Friebe, Beachrhell Jacques, Tobias Goulet, Stanley Travers, and Francisco J. Garcia-Moreno. "Taguchi Design of Experiment Enabling the Reduction of Spikes on the Sides of Patterned Thin Films for Tunnel Junction Fabrication." MRS Advances 2, no. 52 (2017): 3025–30. http://dx.doi.org/10.1557/adv.2017.456.

Full text
Abstract:
ABSTRACTPhotolithographically patterned thin films often possess unwanted spikes along the side edges. These spikes are a significant issue for the development of spinvalve memory, tunnel junction based molecular devices, and micro-electromechanical systems. Here, we report a very simple, economical, and fast way of creating an optimum photoresist profile for the production of spike-free patterned films. This approach is based on performing a soaking step in the positive-photoresist’s developer solution before the UV exposure. However, the success of this method depends on multiple photolithography factors: photoresist thickness (governed by spin speed), soft baking temperature, soaking time in developer, and exposure time. In this paper, we report our systematic experiments to study the effect of these factors by following the L9 experimental scheme of the Taguchi Design of experiment (TDOE) approach. The L9 experiment scheme effectively accommodated the study of four photolithography factors, each with three levels. After performing photolithography as per L9 TDOE scheme, we sputter deposited 20 nm Tantalum to check the side edge profile of the patterned film by atomic force microscope (AFM). We measured the heights of the spikes along the thin film edges. We utilized spike height as the desired property and chose “smaller the better” criteria for the TDOE analysis. TDOE enabled us to understand the relative importance of the parameters, relationship among the parameters, and impact of the various levels of the parameters on the thin film edge profile. TDOE analysis yielded an optimum combination of levels for the four photolithography factors. The optimum combination of photolithography factors included spin speed 4000 rpm, 100 °C soft baking temperature, 60 sec pre-soaking in the developer solution, and 15 sec UV exposure. We validated the TDOE by AFM and observed spike free patterned films. We also made complete tunnel junction devices by utilizing the optimized photolithography factors for the bottom electrode and obtained excellent tunneling behavior. In summary, this study provides a very simple, economical, and fast photolithography approach for creating optimum photoresist profile for the micro-nano scale devices and electromechanical structures.
APA, Harvard, Vancouver, ISO, and other styles
19

Kaltashov, Alexander, Prabu Karthick Parameshwar, Nicholas Lin, and Christopher Moraes. "Accessible, large-area, uniform dose photolithography using a moving light source." Journal of Micromechanics and Microengineering 32, no. 2 (December 20, 2021): 027001. http://dx.doi.org/10.1088/1361-6439/ac4005.

Full text
Abstract:
Abstract Photolithography is an essential microfabrication process in which ultraviolet (UV) light is projected through a mask to selectively expose and pattern a light-sensitive photoresist. Conventional photolithography devices are based on a stationary UV lamp and require carefully-designed optics to ensure that a uniform exposure dose is provided across the substrate being patterned. Access to such systems is typically limited to certain labs with domain-specific expertise and sufficient resources. The emergence of light emitting diode (LED)-based UV technologies has provided improved access to the necessary light sources, but issues with uniformity and limited exposure sizes still remain. In this work, we explore the use of a moving light source (MOLIS) for large-area lithography applications, in which the light source path speed, elevation, and movement pattern can be used to smooth out any spatial variations in source light intensity profiles, and deliver a defined and uniform cumulative UV exposure dose to a photoresist-coated substrate. By repurposing a 3D printer and UV-LED flashlight, we constructed an inexpensive MOLIS platform, simulated and verified the parameters needed to produce a uniform UV dose exposure, and demonstrate this approach for SU-8 microfabrication of features with dimensions relevant to many areas in biomedical engineering. The ready accessibility and inexpensive nature of this approach may be of considerable value to small laboratories interested in occasional and low-throughput prototype microfabrication applications.
APA, Harvard, Vancouver, ISO, and other styles
20

Lin, Hung Yi, Yong Shan Sun, Shih Liang Chen, and Mao Kuo Wei. "Microlens Array Fabrication by 3D Diffuser Lithography for Light Enhancement of Organic Light-Emitting Devices." Key Engineering Materials 625 (August 2014): 430–36. http://dx.doi.org/10.4028/www.scientific.net/kem.625.430.

Full text
Abstract:
Microlens arrays have been fabricated by 3D diffuser lithography in this study. The method mainly adopts two kinds of diffuser films with different transmittances and hazes, integrated by photolithography, polydimethylsiloxane (PDMS) molding and UV forming techniques, to get microlens arrays with different parameters and geometries. The features, such as height, geometry and fill factor of microlens arrays, are controlled by photolithography, using a photomask with circular holes and different exposure doses. The microlens arrays can also be duplicated and transferred to the surface of flexible polyethylene terephthalate (PET) substrate through PDMS molding and UV forming processes. Finally, the outcoupling efficiency of microlens arrays attached to organic light-emitting devices (OLEDs) can be measured and analyzed. More than 60% enhancement of luminous current efficiency can be obtained in experimental results.
APA, Harvard, Vancouver, ISO, and other styles
21

Reynolds, David Eun, Olivia Lewallen, George Galanis, and Jina Ko. "A Customizable and Low-Cost Ultraviolet Exposure System for Photolithography." Micromachines 13, no. 12 (December 1, 2022): 2129. http://dx.doi.org/10.3390/mi13122129.

Full text
Abstract:
For microfluidic device fabrication in the research, industry, and commercial areas, the curing and transfer of patterns on photoresist relies on ultraviolet (UV) light. Often, this step is performed by commercial mask aligner or UV lamp exposure systems; however, these machines are often expensive, large, and inaccessible. To find an alternative solution, we present an inexpensive, customizable, and lightweight UV exposure system that is user-friendly and readily available for a homemade cleanroom. We fabricated a portable UV exposure system that costs under $200. The wafer holder’s adjustable height enabled for the selection of the appropriate curing distance, demonstrating our system’s ability to be easily tailored for different applications. The high light uniformity across a 4” diameter wafer holder (light intensity error ~2.9%) was achieved by adding a light diffusing film to the apparatus. These values are comparable to the light uniformity across a 5” diameter wafer holder from a commercial mask aligner (ABM 3000HR Mask Aligner), that has a light intensity error of ~4.0%. We demonstrated the ability to perform photolithography with high quality by fabricating microfluidic devices and generating uniform microdroplets. We achieved comparable quality to the wafer patterns, microfluidic devices, and droplets made from the ABM 3000HR Mask Aligner.
APA, Harvard, Vancouver, ISO, and other styles
22

LIAO, K. J., W. L. WANG, C. Z. CAI, X. S. WANG, and C. Y. KONG. "UV PHOTODETECTORS OF c-BN FILMS." International Journal of Modern Physics B 16, no. 06n07 (March 20, 2002): 1115–19. http://dx.doi.org/10.1142/s0217979202010968.

Full text
Abstract:
Cubic boron nitride films (c-BN) were prepared by sputtering of a hexagonal BN sintered target. The films obtained were characterized by scanning electron microscopy, Infrared absorption spectra and UV-visible transmission spectra. The UV photodetetor devices of c-BN films were fabricated by photolithographic techniques. The devices exhibited a large photocurrent when exposed to light with a wavelength less than 223nm. The results obtained also show that the photoconductive properties closely depend on the deposition conditions. The photoconductive gain for the sample deposited at r. f. power of 300W was greater than that of the sample prepared at r. f. Power of 100W. This can be ascribed to that the carrier lifetime and mobility of the films have been improved.
APA, Harvard, Vancouver, ISO, and other styles
23

Toyama, Yoshisuke, and Hirokazu Ikeda. "13‐2: Invited Paper: Advanced Patterning Method Exceeding a Limitation of Lithography with Resolution Enhancement Technology (RET)." SID Symposium Digest of Technical Papers 54, no. 1 (June 2023): 158–61. http://dx.doi.org/10.1002/sdtp.16513.

Full text
Abstract:
Novel high resolution photolithography process is presented in this paper. New process and materials can use conventional exposure apparatuses with ghi‐line UV light to form high resolution pattern beyond the resolution limit of exposure apparatus.
APA, Harvard, Vancouver, ISO, and other styles
24

Beck, Anthony, Franziska Obst, Mathias Busek, Stefan Grünzner, Philipp Mehner, Georgi Paschew, Dietmar Appelhans, Brigitte Voit, and Andreas Richter. "Hydrogel Patterns in Microfluidic Devices by Do-It-Yourself UV-Photolithography Suitable for Very Large-Scale Integration." Micromachines 11, no. 5 (May 2, 2020): 479. http://dx.doi.org/10.3390/mi11050479.

Full text
Abstract:
The interest in large-scale integrated (LSI) microfluidic systems that perform high-throughput biological and chemical laboratory investigations on a single chip is steadily growing. Such highly integrated Labs-on-a-Chip (LoC) provide fast analysis, high functionality, outstanding reproducibility at low cost per sample, and small demand of reagents. One LoC platform technology capable of LSI relies on specific intrinsically active polymers, the so-called stimuli-responsive hydrogels. Analogous to microelectronics, the active components of the chips can be realized by photolithographic micro-patterning of functional layers. The miniaturization potential and the integration degree of the microfluidic circuits depend on the capability of the photolithographic process to pattern hydrogel layers with high resolution, and they typically require expensive cleanroom equipment. Here, we propose, compare, and discuss a cost-efficient do-it-yourself (DIY) photolithographic set-up suitable to micro-pattern hydrogel-layers with a resolution as needed for very large-scale integrated (VLSI) microfluidics. The achievable structure dimensions are in the lower micrometer scale, down to a feature size of 20 µm with aspect ratios of 1:5 and maximum integration densities of 20,000 hydrogel patterns per cm². Furthermore, we demonstrate the effects of miniaturization on the efficiency of a hydrogel-based microreactor system by increasing the surface area to volume (SA:V) ratio of integrated bioactive hydrogels. We then determine and discuss a correlation between ultraviolet (UV) exposure time, cross-linking density of polymers, and the degree of immobilization of bioactive components.
APA, Harvard, Vancouver, ISO, and other styles
25

Prashad, Ramesh, and Ozlem Yasar. "Three-Dimensional Scaffold Fabrication with Inverse Photolithography." MRS Advances 2, no. 19-20 (December 15, 2016): 1071–75. http://dx.doi.org/10.1557/adv.2016.620.

Full text
Abstract:
ABSTRACTIn recent years, tissue engineering has been utilized as an alternative approach to organ transplantation. Success rate of tissue regeneration influenced by the biomaterials, cell sources, growth factors and scaffold fabrication. Design and precise fabrication of scaffolds are required to support cells to expand and migrate to 3D environment. Common scaffold fabrication techniques use heat, adhesives, molds or light. In this research, “inverse-photolithography” which is a light based fabrication technique was used to generate the scaffolds. In order to control the interior architecture of the scaffold “a single vertical strut” and “a y-shape” were fabricated with the 3D printer by using the dissolvable filament. Then, the strut and the y-shape were immersed into the photo-curable solution which is poly(ethylene glycol) diacrylate (PEGDA) and photo-initiator mixture. UV light with the 365nm wavelength was placed up-side down under the solution. Photo-curable mixture was exposed to the UV light for 3 minutes to cure the entire scaffold. Solidified scaffold with the strut and y-shape inside was kept in the limonene solution. Limonene penetrated through the open ended strut and y-shape and it dissolved the 3D printed strut and y-shape away leaving the fabricated PEGDA based scaffolds. This preliminary research showcases, the 3D scaffolds with the controlled interior design, can be fabricated with the “inverse-photolithography” technique.
APA, Harvard, Vancouver, ISO, and other styles
26

Suwandi, Dedi, Yudan Whulanza, and Jos Istiyanto. "Visible Light Maskless Photolithography for Biomachining Application." Applied Mechanics and Materials 493 (January 2014): 552–57. http://dx.doi.org/10.4028/www.scientific.net/amm.493.552.

Full text
Abstract:
Maskless photolithograpy is an alternative method of conventional UV photolithograpy for microfabrication since its advantages of time and cost saving. For this reason, a visible-light based maskless photolithograpy is proposed as a part of biomachining process. Modification of the method is done by replacing light source of UV light to visible light, utilizing commercial DLP projector and changing the material removal process that generally uses echant with biomachining process. The process was done by using the profile generated by computer then displayed through a commercial DLP projector shining speciment test. Focusing lens placed under the projector to draw the focal point and reduces the size of the profile. The best parameter was determined by setring exposure time, developing time, variation profiles, focusing, colors combination and optical aspect. Using a commercial projector maskless photolithography on a negative resist tone successfully performed. The best characteristic was obtained by placing the focusing lens 3X magnification within 3 cm below the projector and 14 cm above speciment test, color combination of black-light blue (R = 0, G = 176, B = 240), with the timing of prebake 1 minute, exposure 7 minutes, postbake 5 minutes, developing 5 minutes produces the smallest profile 166 μm with 13,7 μm deviation. Biomachining process with bacteria Acidithiobacillus ferrooxidans NBRC 14262 on copper was also successfully performed with the smallest profile of 180 μm with 26 μm deviation.
APA, Harvard, Vancouver, ISO, and other styles
27

SEKIGUCHI, Ten, Ryo ICHIGE, Hidetaka UENO, and Takaaki SUZUKI. "Shape Evaluation of UV-PDMS Microstructures Made by Using Photolithography." Proceedings of the Conference on Information, Intelligence and Precision Equipment : IIP 2022 (2022): IIP1R1—E06. http://dx.doi.org/10.1299/jsmeiip.2022.iip1r1-e06.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Liu, Junbo, Shaolin Zhou, Song Hu, Hongtao Gao, Yu He, and Yiguang Cheng. "Spectrum-Integral Talbot Effect for UV Photolithography With Extended DOF." IEEE Photonics Technology Letters 27, no. 20 (October 15, 2015): 2201–4. http://dx.doi.org/10.1109/lpt.2015.2456184.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Hemanth, Suhith, Thomas A. Anhøj, Claudia Caviglia, and Stephan S. Keller. "Suspended microstructures of epoxy based photoresists fabricated with UV photolithography." Microelectronic Engineering 176 (May 2017): 40–44. http://dx.doi.org/10.1016/j.mee.2017.01.026.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Lu, Yang, Jinbao Guo, Hao Wang, and Jie Wei. "Flexible Bistable Smectic-A Liquid Crystal Device Using Photolithography and Photoinduced Phase Separation." Advances in Condensed Matter Physics 2012 (2012): 1–9. http://dx.doi.org/10.1155/2012/843264.

Full text
Abstract:
A flexible bistable smectic-A liquid crystal (SmA LC) device using pixel-isolated mode was demonstrated, in which SmA LC molecules were isolated in pixels by vertical polymer wall and horizontal polymer layer. The above microstructure was achieved by using ultraviolet (UV) photolithography and photoinduced phase separation. The polymer wall was fabricated by photolithography, and then the SmA LC was encapsulated in pixels between polymer wall through UV-induced phase separation, in which the polymer wall acts as supporting structure from mechanical pressure and maintains the cell gap from bending, and the polymer layer acts as adhesive for tight attachment of two substrates. The results demonstrated that all the intrinsic bistable properties of the SmA LC are preserved, and good electrooptical characteristics such as high contrast ratio and excellent stability of the bistable states were characterized. This kind of SmA bistable flexible display has high potential to be used as electronic paper, smart switchable reflective windows, and so forth.
APA, Harvard, Vancouver, ISO, and other styles
31

Fantino, Erika, Alessandra Vitale, Marzia Quaglio, Matteo Cocuzza, Candido F. Pirri, and Roberta Bongiovanni. "Blue and UV combined photolithographic polymerization for the patterning of thick structures." Chemical Engineering Journal 267 (May 2015): 65–72. http://dx.doi.org/10.1016/j.cej.2014.12.088.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Gupta, Ishi, Manika Choudhury, G. Harish Gnanasambanthan, and Debashis Maji. "Optimization of Microstructure Patterning for Flexible Bioelectronics Application." International Journal of Electrical and Electronics Research 11, no. 3 (September 20, 2023): 738–42. http://dx.doi.org/10.37391/ijeer.110315.

Full text
Abstract:
Recent advancements in flexible electronics and wearable sensors have given biomedical technology a new edge overcoming the limitations of traditional rigid silicon-based electronics. Furthermore, high flexibility of these wearable sensors enables it to conformally sit over any uneven surface helping in accurate determination of any physical, chemical, or physiological parameter associate with the surface. Conventionally expensive micro/nano photolithography techniques under strict clean room conditions are used for the development of these flexible and wearable biomedical sensors with high degree of accuracy and sensitivity. However, the developed wearable sensors need not only be extremely sensitive, but also cost effective for its successful usage. To address this, the present work discusses the use of a photo-patternable UV sheet for realization of micro patterns over flexible copper cladded surface eliminating the need of costly clean room facilities. It demonstrates the standardization of various design geometries using the photo-patternable UV sheet over the flexible surface similar to photolithography process and involves optimization of the exposure timing of the UV sheets and their development time towards various design patterns over different thick film metal surfaces. Finally, patterned micro devices like micro-electrodes were successfully realized using the above process to ascertain its efficacy.
APA, Harvard, Vancouver, ISO, and other styles
33

Kang, Myeongwoo, Jae Hwan Byun, Sangcheol Na, and Noo Li Jeon. "Fabrication of functional 3D multi-level microstructures on transparent substrates by one step back-side UV photolithography." RSC Advances 7, no. 22 (2017): 13353–61. http://dx.doi.org/10.1039/c6ra28812j.

Full text
Abstract:
This paper describes simple photolithography-based methods to fabricate multi-level three-dimensional (3D) microstructures without repeated processes using flexible and transparent film substrates such as polyethylene terephthalate (PET).
APA, Harvard, Vancouver, ISO, and other styles
34

Sun, Ke, Gai Wu, Kang Liang, Bin Sun, and Jian Wang. "Investigation into Photolithography Process of FPCB with 18 µm Line Pitch." Micromachines 14, no. 5 (May 10, 2023): 1020. http://dx.doi.org/10.3390/mi14051020.

Full text
Abstract:
Due to the widespread application of flexible printed circuit boards (FPCBs), attention is increasing being paid to photolithography simulation with the continuous development of ultraviolet (UV) photolithography manufacturing. This study investigates the exposure process of an FPCB with an 18 µm line pitch. Using the finite difference time domain method, the light intensity distribution was calculated to predict the profiles of the developed photoresist. Moreover, the parameters of incident light intensity, air gap, and types of media that significantly influence the profile quality were studied. Using the process parameters obtained by photolithography simulation, FPCB samples with an 18 µm line pitch were successfully prepared. The results show that a higher incident light intensity and a smaller air gap result in a larger photoresisst profile. Better profile quality was obtained when water was used as the medium. The reliability of the simulation model was validated by comparing the profiles of the developed photoresist via four experimental samples.
APA, Harvard, Vancouver, ISO, and other styles
35

Naggay, Benjamin K., Kerstin Frey, Markus Schneider, Kiriaki Athanasopulu, Günter Lorenz, and Ralf Kemkemer. "Low-cost photolithography system for cell biology labs." Current Directions in Biomedical Engineering 7, no. 2 (October 1, 2021): 550–53. http://dx.doi.org/10.1515/cdbme-2021-2140.

Full text
Abstract:
Abstract Soft lithography, a tool widely applied in biology and life sciences with numerous applications, uses the soft molding of photolithography-generated master structures by polymers. The central part of a photolithography set-up is a mask-aligner mostly based on a high-pressure mercury lamp as an ultraviolet (UV) light source. This type of light source requires a high level of maintenance and shows a decreasing intensity over its lifetime, influencing the lithography outcome. In this paper, we present a low-cost, bench-top photolithography tool based on ninety-eight 375 nm light-emitting diodes (LEDs). With approx. 10 W, our presented lithography set-up requires only a fraction of the energy of a conventional lamp, the LEDs have a guaranteed lifetime of 1000 h, which becomes noticeable by at least 2.5 to 15 times more exposure cycles compared to a standard light source and with costs less than 850 C it is very affordable. Such a set-up is not only attractive to small academic and industrial fabrication facilities who want to enable work with the technology of photolithography and cannot afford a conventional set-up, but also microfluidic teaching laboratories and microfluidic research and development laboratories, in general, could benefit from this cost-effective alternative. With our self-built photolithography system, we were able to produce structures from 6 μm to 50 μm in height and 10 μm to 200 μm in width. As an optional feature, we present a scaled-down laminar flow hood to enable a dust-free working environment for the photolithography process.
APA, Harvard, Vancouver, ISO, and other styles
36

Li, Bo. "Low-stress ultra-thick SU-8 UV photolithography process for MEMS." Journal of Micro/Nanolithography, MEMS, and MOEMS 4, no. 4 (October 1, 2005): 043008. http://dx.doi.org/10.1117/1.2117108.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Kim, Pan Kyeom, Sung-Il Chung, Tae-Gyu Ha, and Myung Yung Jeong. "The Fabrication of a Cylindrical Nano Mold Based on UV Photolithography." Science of Advanced Materials 12, no. 3 (March 1, 2020): 407–11. http://dx.doi.org/10.1166/sam.2020.3652.

Full text
Abstract:
The field of nanotechnology is predicted to lead to breakthroughs in many industrial fields. However, inadequate capability for mass production is a large hurdle in the advancement of this industry. To overcome the shortfall in production of nanotechnological devices, we designed a cylindrical nano mold used in the roll-to-roll process with a large surface area to improve production efficiency. The nano structure was fabricated using the UV-based phase shift edge lithography process and duplicated onto a metal plate. The nano patterned metal plate was rolled onto a cylindrical core to complete the cylindrical nano mold. We confirmed the processing ability of the mold by experimentally conducting the nano imprinting process and analyzing the results.
APA, Harvard, Vancouver, ISO, and other styles
38

Whitfield, Michael D., Stuart P. Lansley, Olivier Gaudin, Robert D. McKeag, Nadeem Rizvi, and Richard B. Jackman. "Diamond photodetectors for next generation 157-nm deep-UV photolithography tools." Diamond and Related Materials 10, no. 3-7 (March 2001): 693–97. http://dx.doi.org/10.1016/s0925-9635(00)00518-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Bing, Chu Yih, Ajay Achath Mohanan, Tridib Saha, Ramakrishnan Nagasundara Ramanan, R. Parthiban, and N. Ramakrishnan. "Microfabrication of surface acoustic wave device using UV LED photolithography technique." Microelectronic Engineering 122 (June 2014): 9–12. http://dx.doi.org/10.1016/j.mee.2014.03.011.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Shao, Dongbing, and Shaochen Chen. "Surface plasmon assisted contact scheme nanoscale photolithography using an UV lamp." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 26, no. 1 (2008): 227. http://dx.doi.org/10.1116/1.2834688.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Sekiguchi, Ten, Hidetaka Ueno, Vivek Anand Menon, Ryo Ichige, Yuya Tanaka, Hiroshi Toshiyoshi, and Takaaki Suzuki. "UV-curable Polydimethylsiloxane Photolithography and Its Application to Flexible Mechanical Metamaterials." Sensors and Materials 35, no. 6 (June 27, 2023): 1995. http://dx.doi.org/10.18494/sam4351.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Huang, Wenhai, Taige Liu, Zhe Wang, Xiangdong Yuan, Bo Zhang, Chai Hu, Kewei Liu, Jiashuo Shi, and Xinyu Zhang. "Flexible refractive and diffractive micro-optical films shaped by fitting aspherical microprofiles with featured aperture and depth and their spatial arrangement for imaging applications." Journal of Vacuum Science & Technology B 40, no. 2 (March 2022): 022804. http://dx.doi.org/10.1116/6.0001586.

Full text
Abstract:
Patterned surface microstructures over a common silicon wafer are constructed by a single-mask ultraviolet (UV) photolithography and a dual-step wet potassium hydroxide etching. Oriented surface contours such as aspherical refractive profiles, diffractive phase steps, or even the composite appearance shaped by combining both refractive and diffractive microprofiles can be accurately predicted and finely configured through computational fitting. This critical operation involves a careful adjustment of the location of silicon microholes with suitable apertures and concave depths so as to outline a needed square microwindow map defined by the single-mask UV photolithography. This approach leads to an aspherical surface or phase steps with required roughness based on a greedy algorithm developed in-house. The obtained micro-optical films can be effectively used to transform common laser beams with a typical Gaussian profile into patterned beams with various complicated wavefronts. The proposed method highlights a low-cost development of adaptive optical imaging by constructing relatively complicated wavefront or objective circumstances for quantitatively evaluating imaging efficiency. The technology should find typical applications in antilaser interference or attack imaging.
APA, Harvard, Vancouver, ISO, and other styles
43

Nothdurft, Philipp, Jörg Schauberger, Gisbert Riess, and Wolfgang Kern. "Preparation of a Water-Based Photoreactive Azosulphonate-Doped Poly(Vinyl Alcohol) and the Investigation of Its UV Response." Polymers 11, no. 1 (January 18, 2019): 169. http://dx.doi.org/10.3390/polym11010169.

Full text
Abstract:
Two different azosulphonate dyes were synthesised and purified for the preparation of a water-based photoreactive azosulphonate-doped poly(vinyl alcohol). The aim was the investigation of a novel azosulphonate-poly(vinyl alcohol) photoresist with decreased water solubility after illumination, setting a focus on environmentally benign substances. The electron distribution of the aromatic rings of the two different azosulphonate molecules were changed by the UV-induced cleavage of the –N=N–SO3− groups, which was evidenced by UV spectroscopy. The formation of ester groups was detected by Fourier-transform infrared and 13C nuclear magnetic resonance spectroscopy. UV–Vis spectroscopy was used to investigate the photoreactivity of the prepared films. Photolithographic experiments demonstrated the applicability of these newly produced materials as photoresist materials. In addition, these materials provide high thermal stability.
APA, Harvard, Vancouver, ISO, and other styles
44

Liang, Banglong, Zili Wang, Cheng Qian, Yi Ren, Bo Sun, Dezhen Yang, Zhou Jing, and Jiajie Fan. "Investigation of Step-Stress Accelerated Degradation Test Strategy for Ultraviolet Light Emitting Diodes." Materials 12, no. 19 (September 25, 2019): 3119. http://dx.doi.org/10.3390/ma12193119.

Full text
Abstract:
III-nitride-based ultraviolet light emitting diode (UV LED) has numerous attractive applications in air and water purification, UV photolithography, and in situ activation of drugs through optical stimulus, solid state lighting, polymer curing, and laser surgery. However, the unclear failure mechanisms and uncertainty reliability have limited its application. Therefore, a design of an appropriate reliability test plan for UV LEDs has become extremely urgent. Compared to traditional reliability tests recommended in LED lighting industry, the step-stress accelerated degradation test (SSADT) is more cost-effective and time-effective. This paper compares three SSADT testing plans with temperature and driving currents as stepwise increasing loads to determine an appropriate test strategy for UV LEDs. The study shows that: (1) the failure mechanisms among different SSADT tests seem to be very different, since the driving current determines the failure mechanisms of UV LEDs more sensitively, and (2) the stepped temperature accelerated degradation test with an appropriate current is recommended for UV LEDs.
APA, Harvard, Vancouver, ISO, and other styles
45

Hu, Haikun, Zhou Lu, Jiasheng Li, and Zongtao Li. "P‐11.3: Manufacturing Quantum Dot Pixel Array via Self‐Assembling on Hydrophobic‐Hydrophilic Transformation Substrate." SID Symposium Digest of Technical Papers 54, S1 (April 2023): 836–40. http://dx.doi.org/10.1002/sdtp.16428.

Full text
Abstract:
Quantum dots (QDs) have been considered next-generation display fluorescent conversion materials due to their excellent color purity and photoluminescence quantum yield. In order to integrate QD with Micro/Mini-LED and other microdevices efficiently, it is necessary to fabricate micro-scale QD pixel arrays. Photolithography is an effective method for fine pattern processing, but conventional photolithographic procedures need to compound QD with a photosensitive curing matrix, which is not conducive to obtaining high color purity QD pixels. Therefore, a QD self-assembly strategy based on a hydrophobic-hydrophilic transformation substrate is proposed, combining ultraviolet (UV) mask exposure and surface charge modification technology to manufacture a high-purity QD pixel array. In this paper, the hydrophobic-hydrophilic transformation substrate is prepared by polyvinylidene fluoride (PVDF)/TiO2 hybrid fibrous membrane substrate. By changing the thickness of the substrate and analyzing its threshold of the photocatalysis time and pattern accuracy combined with the transmittance after encapsulation, the optimal substrate thickness is about 30 um. On this basis, the surface charge of the fibrous membrane is further modified by poly(diallyldimethylammonium chloride) (PDDA) to enhance the self-assembly performance of the QD in the hydrophilic region. The dimensional accuracy and optical characteristic of the QD pixel array have been studied in detail. The color average degree is 96.4%, the consistency is 94.5%, and the dimensional accuracy error is 5.2%. The spectrum of the QD pixel is almost the same as the QD solution, and the transmittance of the films is up to 88.8% under the visible light wave band, which has excellent optical performance. Therefore, this strategy is beneficial for efficiently manufacturing the high-purity QD pixel array, which has a broad application prospect in the high-resolution display field of Micro/Mini light-emitting diodes (LEDs).
APA, Harvard, Vancouver, ISO, and other styles
46

Kasi, Dhanesh G., Mees N. S. de Graaf, Paul A. Motreuil-Ragot, Jean-Phillipe M. S. Frimat, Michel D. Ferrari, Pasqualina M. Sarro, Massimo Mastrangeli, Arn M. J. M. van den Maagdenberg, Christine L. Mummery, and Valeria V. Orlova. "Rapid Prototyping of Organ-on-a-Chip Devices Using Maskless Photolithography." Micromachines 13, no. 1 (December 29, 2021): 49. http://dx.doi.org/10.3390/mi13010049.

Full text
Abstract:
Organ-on-a-chip (OoC) and microfluidic devices are conventionally produced using microfabrication procedures that require cleanrooms, silicon wafers, and photomasks. The prototyping stage often requires multiple iterations of design steps. A simplified prototyping process could therefore offer major advantages. Here, we describe a rapid and cleanroom-free microfabrication method using maskless photolithography. The approach utilizes a commercial digital micromirror device (DMD)-based setup using 375 nm UV light for backside exposure of an epoxy-based negative photoresist (SU-8) on glass coverslips. We show that microstructures of various geometries and dimensions, microgrooves, and microchannels of different heights can be fabricated. New SU-8 molds and soft lithography-based polydimethylsiloxane (PDMS) chips can thus be produced within hours. We further show that backside UV exposure and grayscale photolithography allow structures of different heights or structures with height gradients to be developed using a single-step fabrication process. Using this approach: (1) digital photomasks can be designed, projected, and quickly adjusted if needed; and (2) SU-8 molds can be fabricated without cleanroom availability, which in turn (3) reduces microfabrication time and costs and (4) expedites prototyping of new OoC devices.
APA, Harvard, Vancouver, ISO, and other styles
47

Wu, Yu, and Zihao Xiao. "The Recent Progress of Lithography Machine and the State-of-art Facilities." Highlights in Science, Engineering and Technology 5 (July 7, 2022): 155–65. http://dx.doi.org/10.54097/hset.v5i.737.

Full text
Abstract:
With the rapid development of industrial intelligent manufacturing and electronic information technology, the importance of integrated circuits has grown fast. Photolithography, as the core technology of integrated circuit industry, has become a key research target for researchers all over the world. In this paper, we provide a brief introduction to photolithography as well as an outlook on the future development direction. Firstly, the key metric of lithography system, which is resolution, and how it relates to lithographic performance is analyzed. Secondly, some exposure methods developed on UV and DUV light sources are discussed, which are commonly used in the industry nowadays. Subsequently, this paper presents the structure and performance of some representative lithography equipment. Then, some summarizations are completed about the most recent advances in EUV lithography and high NA lithography. Finally, we examine the limitations of current lithography and forecast the future of lithography. The goal of this paper is to provide a guide on lithography equipment, particularly the most advanced products available nowadays. Additionally, some potential challenges that photolithography may face in its future development are highlighted, and some perspectives on how photolithography will evolve over the next decade are provided. These results shed light on guiding the future development direction of lithography machine as well as ways to push Moore’s law even further.
APA, Harvard, Vancouver, ISO, and other styles
48

Niu, Xi-Zhi, Richard D. Pepel, Rodrigo Paniego, Jim A. Field, Jon Chorover, Leif Abrell, A. Eduardo Sáez, and Reyes Sierra-Alvarez. "Photochemical fate of sulfonium photoacid generator cations under photolithography relevant UV irradiation." Journal of Photochemistry and Photobiology A: Chemistry 416 (July 2021): 113324. http://dx.doi.org/10.1016/j.jphotochem.2021.113324.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Yasar, Ozlem, and Binil Starly. "Fabrication of Lindenmayer System-Based Designed Engineered Scaffolds Using UV-Maskless Photolithography." MRS Advances 1, no. 11 (2016): 749–54. http://dx.doi.org/10.1557/adv.2016.223.

Full text
Abstract:
ABSTRACTIn the field of tissue engineering, design and fabrication of precisely and spatially patterned, highly porous scaffolds/matrixes are required to guide overall shape of tissue growth and replacement. Although rapid prototyping fabrication techniques have been used to fabricate the scaffolds with desired design characteristics, controlling the interior architecture of the scaffolds has been a challenge due to Computer-aided Design (CAD) constrains. Moreover, thick engineered tissue scaffolds show inadequate success due to the limited diffusion of oxygen and nutrients to the interior part of the scaffolds. These limitations lead to improper tissue regeneration. In this work, in order to overcome these design and fabrication limitations, research has been expanded to generation of scaffolds which have inbuilt micro and nanoscale fluidic channels. Branching channels serve as material delivery paths to provide oxygen and nutrients for the cells. These channels are designed and controlled with Lindenmayer Systems (L-Systems) which is an influential way to create the complex branching networks by rewriting process. In this research, through the computational modeling process, to control the thickness, length, number and the position of the channels/branches, main attributes of L-Systems algorithms are characterized and effects of algorithm parameters are investigated. After the L-System based branching design is completed, 3D tissue scaffolds were fabricated by “UV-Maskless Photolithography”. In this fabrication technique, Polyethylene (glycol) Diacrylate (PEGDA), which is biodegradable and biocompatible polymer, was used as a fabrication material. Our results show that L-System parameters can be successfully controlled to design of 3D tissue engineered scaffolds. Our fabrication results also show that L-System based designed scaffolds with internal branch structures can be fabricated layer-by-layer fashion by Maskless Photolithography. This technology can be easily applied to engineering living systems.
APA, Harvard, Vancouver, ISO, and other styles
50

Thackeray, James W., George W. Orsula, Dianne Canistro, and Amanda K. Berry. "Evaluation of deep UV ANR photoresists for 248.4 nm. excimer laser photolithography." Journal of Photopolymer Science and Technology 2, no. 3 (1989): 429–43. http://dx.doi.org/10.2494/photopolymer.2.429.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography