Journal articles on the topic 'PECVD'

To see the other types of publications on this topic, follow the link: PECVD.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'PECVD.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Song, Yumin, Jun-Kyo Jeong, Seung-Dong Yang, Deok-Min Park, Yun-mi Kang, and Ga-Won Lee. "Process effect analysis on nitride trap distribution in silicon-oxide-nitride-oxide-silicon flash memory based on charge retention model." Materials Express 11, no. 9 (September 1, 2021): 1615–18. http://dx.doi.org/10.1166/mex.2021.2067.

Full text
Abstract:
This paper analyzes data retention characteristics to determine process effects on the trap energy distribution of silicon nitride in silicon-oxide-nitride-oxide-silicon (SONOS) flash memory devices. Nitride films were prepared by low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced chemical vapor deposition (PECVD). PEVCD films embedded with silicon nanocrystals (Si-NCs) were also compared. The flat band voltage shift in the programmed device was measured at high temperatures to observe the thermal excitation of electrons from the nitride traps in retention mode. The trap energy distribution was extracted using the charge decay rates, and the experimental results showed that nitride fabricated by PECVD has a shallower trap than nitride fabricated by LPCVD. In nitride with Si-NCs, increased trap sites were observed in the range of 1.14 eV to 1.24 eV.
APA, Harvard, Vancouver, ISO, and other styles
2

Ding, Er Xiong, Hong Zhang Geng, Li He Mao, Wen Yi Wang, Yan Wang, Zhi Jia Luo, Jing Wang, and Hai Jie Yang. "Recent Research Progress of Carbon Nanotube Arrays Prepared by Plasma Enhanced Chemical Vapor Deposition Method." Materials Science Forum 852 (April 2016): 308–14. http://dx.doi.org/10.4028/www.scientific.net/msf.852.308.

Full text
Abstract:
Preparing carbon nanotube (CNT) arrays by plasma enhanced chemical vapor deposition (PECVD) method can dramatically reduce the deposition temperature, which makes it possible for in-situ fabrication of CNT-based nanoelectronic devices. In this paper, up to date research progress of CNT arrays prepared by PECVD method was presented, including radio frequency PECVD, direct current PECVD and microwave PECVD. Then, morphology and quality of CNT arrays were compared. In the end, we analyzed the possible challenges encountered through CNT array preparation by PECVD method at the moment and in the future.
APA, Harvard, Vancouver, ISO, and other styles
3

Noriah, Yusoff, Nor Hayati Saad, Mohsen Nabipoor, Suraya Sulaiman, and Daniel Bien Chia Sheng. "Plasma Enhanced Chemical Vapor Deposition Time Effect on Multi-Wall Carbon Nanotube Growth Using C2H2 and H2 as Precursors." Advanced Materials Research 938 (June 2014): 58–62. http://dx.doi.org/10.4028/www.scientific.net/amr.938.58.

Full text
Abstract:
Multi-wall carbon nanotube (MWCNT) structures were grown on cobalt catalyst layer through Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Acetylene (C2H2) and hydrogen (H2) are used as precursors during the PECVD process. The morphology structures of the MWCNTs grown under different PECVD time were investigated and characterized using Scanning Electron Microscope (SEM). The effect of the PECVD time on the MWCNT growth is studied by varying the PECVD time at 45 sec and 600 sec. The morphology structures suggest that the growth rate is proportional to the PECVD time under the similar setting condition of pressure, acetylene flow-rate and temperature.
APA, Harvard, Vancouver, ISO, and other styles
4

KIM, JIN-EUI, SANG-HYUK RYU, and SIE-YOUNG CHOI. "THE EFFECT OF a-SiN:H AND a-Si:H SURFACE ROUGHNESS OF TFT BY PE/RACVD." International Journal of Modern Physics B 24, no. 15n16 (June 30, 2010): 3107–11. http://dx.doi.org/10.1142/s0217979210066161.

Full text
Abstract:
This paper describes the influence of surface roughness on the mobility of a - SiN : H and a - Si : H . For the a - SiN : H deposited by PECVD, the roughness was 0.832 nm. The a - SiN : H layer composed of (PECVD 150 nm + RACVD 100 nm) had better characteristic of roughness than the a - SiN : H layer (PECVD 250 nm) by 47%. The roughness of the a - Si : H (PECVD 200 nm) deposited on the a - SiN : H layer was 0.803 nm. And the roughness of a - Si : H (RACVD 100 nm + PECVD 100 nm) deposited the a - SiN : H layer is better than the a - Si : H (PECVD 200 nm) by 27%. After depositing the layer of a - SiN : H and a - Si : H at the best condition obtained by the experiments the mobility was measured. The a - Si : H is deposited by the PECVD, the obtained mobility was 0.218 cm 2/V ·sec. The a - Si : H was deposited by the PE/RACVD, the obtained mobility was 0.248 cm 2/V ·sec. The mobility is enhanced by 10% by depositing the a - Si : H layer using the PE/RACVD method.
APA, Harvard, Vancouver, ISO, and other styles
5

Chen, Tsung-Cheng, Ting-Wei Kuo, Yu-Ling Lin, Chen-Hao Ku, Zu-Po Yang, and Ing-Song Yu. "Enhancement for Potential-Induced Degradation Resistance of Crystalline Silicon Solar Cells via Anti-Reflection Coating by Industrial PECVD Methods." Coatings 8, no. 12 (November 22, 2018): 418. http://dx.doi.org/10.3390/coatings8120418.

Full text
Abstract:
The issue of potential-induced degradation (PID) has gained more concerns due to causing the catastrophic failures in photovoltaic (PV) modules. One of the approaches to diminish PID is to modify the anti-reflection coating (ARC) layer upon the front surface of crystalline silicon solar cells. Here, we focus on the modification of ARC films to realize PID-free step-by-step through three delicate experiments. Firstly, the ARC films deposited by direct plasma enhanced chemical vapor deposition (PECVD) and by indirect PECVD were investigated. The results showed that the efficiency degradation of solar cells by indirect PECVD method is up to −33.82%, which is out of the IEC 62804 standard and is significantly more severe than by the direct PECVD method (−0.82%). Next, the performance of PID-resist for the solar cell via indirect PECVD was improved significantly (PID reduced from −31.82% to −2.79%) by a pre-oxidation step, which not only meets the standard but also has higher throughput than direct PECVD. Lastly, we applied a novel PECVD technology, called the pulsed-plasma (PP) PECVD method, to deal with the PID issue. The results of the HF-etching rate test and FTIR measurement indicated the films deposited by PP PECVD have higher potential against PID in consideration of less oxygen content in this film. That demonstrated the film properties were changed by applied a new control of freedom, i.e., PP method. In addition, the 96 h PID result of the integrated PP method was only −2.07%, which was comparable to that of the integrated traditional CP method. In summary, we proposed three effective or potential approaches to eliminate the PID issue, and all approaches satisfied the IEC 62804 standard of less than 5% power loss in PV modules.
APA, Harvard, Vancouver, ISO, and other styles
6

Al Alam, Elias, Ignasi Cortés, T. Begou, Antoine Goullet, Frederique Morancho, Alain Cazarré, P. Regreny, et al. "Comparison of Electrical Behavior of GaN-Based MOS Structures Obtained by Different PECVD Process." Materials Science Forum 711 (January 2012): 228–32. http://dx.doi.org/10.4028/www.scientific.net/msf.711.228.

Full text
Abstract:
MOS SiO2/GaN structures were fabricated with different surface preparation and different PECVD processes for the dielectric thin film deposition (ECR-PECVD and ICP-PECVD in continuous and pulsed modes). On the basis of C-V curves, the surface preparation steps, involving chemical etching with BOE, UV-Ozone oxidation and oxygen plasma oxidation, were compared in terms of resulting effective charge and interface trap density. A good SiO2/GaN interface quality was achieved for N-type MOS capacitances obtained both with continuousICPPECVD and ECR-PECVD deposition of the SiO2 dielectric. However, the interface quality is greatly reduced for MOS capacitors fabricated on P-type GaN.
APA, Harvard, Vancouver, ISO, and other styles
7

Echeverría, Elena, George Peterson, Bin Dong, Simeon Gilbert, Adeola Oyelade, Michael Nastasi, Jeffry A. Kelber, and Peter A. Dowben. "Band Bending at the Gold (Au)/Boron Carbide-Based Semiconductor Interface." Zeitschrift für Physikalische Chemie 232, no. 5-6 (May 24, 2018): 893–905. http://dx.doi.org/10.1515/zpch-2017-1038.

Full text
Abstract:
Abstract We have used X-ray photoemission spectroscopy to study the interaction of gold (Au) with novel boron carbide-based semiconductors grown by plasma-enhanced chemical vapor deposition (PECVD). Both n- and p-type films have been investigated and the PECVD boron carbides are compared to those containing aromatic compounds. In the case of the p-type semiconducting PECVD hydrogenated boron carbide samples, the binding energy of the B(1s) core level shows a shift to higher binding energies as the Au is deposited, an indication of band bending and possibly Schottky barrier formation. In the case of the n-type boron carbide semiconductors the interaction at the interface is more typical of an ohmic contact. Addition of the aromatic compounds increases the change in binding energies on both n-type and p-type PECVD boron carbide semiconductors, and the gold appears to diffuse into the PECVD boron carbides alloyed with aromatic moieties.
APA, Harvard, Vancouver, ISO, and other styles
8

Parkhomenko, I. N., I. A. Romanov, M. A. Makhavikou, L. A. Vlasukova, G. D. Ivlev, F. F. Komarov, N. S. Kovalchuk, et al. "Effect of thermal and pulse laser annealing on photoluminescence of CVD silicon nitride films." Proceedings of the National Academy of Sciences of Belarus. Physics and Mathematics Series 55, no. 2 (June 28, 2019): 225–31. http://dx.doi.org/10.29235/1561-2430-2019-55-2-225-231.

Full text
Abstract:
The light-emitting properties of Si-rich silicon nitride films deposited on the Si (100) substrate by plasma-enhanced (PECVD) and low-pressure chemical vapor deposition (LPCVD) have been investigated. In spite of the similar stoichiometry (SiN1.1), nitride films fabricated by different techniques emit in different spectral ranges. Photoluminescence (PL) maxima lay in red (640 nm) and blue (470 nm) spectral range for the PECVD and LPCVD SiN1.1 films, respectively. It has been shown that equilibrium furnace annealing and laser annealing by ruby laser (694 nm, 70 ns) affect PL spectra of PECVD and LPCVD SiN1.1 in a different way. Furnace annealing at 600 °C results in a significant increase of the PL intensity of the PECVD film, while annealing of LPCVD films result only in PL quenching. It has been concluded that laser annealing is not appropriate for the PECVD film. The dominated red band in the PL spectrum of the PECVD film monotonically decreases with increasing an energy density of laser pulses from 0.45 to 1.4 J/cm2. Besides, the ablation of PECVD nitride films is observed after irradiation by laser pulses with an energy density of > 1 J/cm2. This effect is accompanied by an increase in blue emission attributed to the formation of a polysilicon layer under the nitride film. In contrast, the LPCVD film demonstrates the high stability to pulsed laser exposure. Besides, an increase in the PL intensity for LPCVD films is observed after irradiation by a double laser pulse (1.4 + 2 J/cm2) which has not been achieved by furnace annealing.
APA, Harvard, Vancouver, ISO, and other styles
9

Yuan, Jin She, Ming Yue Wang, and Guo Hao Yu. "Low-Temperature Plasma Deposition of Diamond-Like Carbon and III Nitride Thin-Films for Photovoltaic Devices." Materials Science Forum 610-613 (January 2009): 353–56. http://dx.doi.org/10.4028/www.scientific.net/msf.610-613.353.

Full text
Abstract:
Low-temperature plasma deposition of diamond-like carbon (DLC) and gallium nitride thin-films grown on Si substrate by PECVD was investigated using atomic force microscopy and reflectance spectra for photovoltaic devices application. It was found that the morphological features of the GaN film depend on the substrates under the optimum deposition conditions. The optical band gap of the films was approximately 5.5eV for PECVD DLC and approximately 3.3 eV for PECVD GaN.
APA, Harvard, Vancouver, ISO, and other styles
10

Nakamura, Masatoshi, Toru Aoki, Yoshinori Hatanaka, Dariusz Korzec, and Jurgen Engemann. "Comparison of hydrophilic properties of amorphous TiOx films obtained by radio frequency sputtering and plasma-enhanced chemical vapor deposition." Journal of Materials Research 16, no. 2 (February 2001): 621–26. http://dx.doi.org/10.1557/jmr.2001.0089.

Full text
Abstract:
The hydrophilic properties of amorphous TiOx films prepared by different methods, e.g., radio frequency (rf) sputtering and plasma-enhanced chemical vapor deposition (PECVD), were studied. It was found that the hydrophilicity strongly depends on the film structure. The best hydrophilicity was realized with the PECVD amorphous film having distorted Ti–O bonds due to a large amount of OH groups. These characteristics of the PECVD amorphous film suggest that such a low-density film including distorted Ti–O bonds could increase the photoenhancement efficiency by ultraviolet radiation. This reason is also supported from the results that a low-density rf sputtered film presented a higher hydrophilicity compared to a high-density radio frequency sputtered film. Furthermore, both electrical and chemical effects of OH groups will also contribute to the good hydrophilicity of the PECVD film.
APA, Harvard, Vancouver, ISO, and other styles
11

Park, Kyoung Woo, Seunghee Lee, Hyunkoo Lee, Yong-Hwan Cho, Yong Cheon Park, Sung Gap Im, and Sang-Hee Ko Park. "High-performance thin H:SiON OLED encapsulation layer deposited by PECVD at low temperature." RSC Advances 9, no. 1 (2019): 58–64. http://dx.doi.org/10.1039/c8ra08449a.

Full text
Abstract:
High-performance H:SiON single layer thin film encapsulation (TFE) was deposited by plasma enhanced chemical vapor deposition (PECVD) method. To control the characteristics of the SiON thin films, hydrogen gas was introduced during PECVD process.
APA, Harvard, Vancouver, ISO, and other styles
12

Choi, Soo Young, and John M. White. "Large Area PECVD Technology." ECS Transactions 25, no. 8 (December 17, 2019): 701–10. http://dx.doi.org/10.1149/1.3207658.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Nikolov, Krasimir, Bernd Schuhmacher, Thomas Jung, and Claus-Peter Klages. "PECVD mit der Bandhohlkathode." Vakuum in Forschung und Praxis 23, no. 2 (March 28, 2011): 23–29. http://dx.doi.org/10.1002/vipr.201100451.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Preissler, Natalie, Daniel Amkreutz, Jorge Dulanto, Jan Amaru Töfflinger, Cham Thi Trinh, Martina Trahms, Daniel Abou-Ras, et al. "Passivation of Liquid-Phase Crystallized Silicon With PECVD-SiNxand PECVD-SiNx/SiOx." physica status solidi (a) 215, no. 14 (June 6, 2018): 1800239. http://dx.doi.org/10.1002/pssa.201800239.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

ILIESCU, Ciprian. "A COMPREHENSIVE REVIEW ON THIN FILM DEPOSITIONS ON PECVD REACTORS." Annals of the Academy of Romanian Scientists Series on Science and Technology of Information 14, no. 1-2 (2021): 12–24. http://dx.doi.org/10.56082/annalsarsciinfo.2021.1-2.12.

Full text
Abstract:
The deposition of thin films by Plasma Enhanced Chemical Vapor Deposition (PECVD) method is a critical process in the fabrication of MEMS or semiconductor devices. The current paper presents an comprehensive overview of PECVD process. After a short description of the PECVD reactors main layers and their application such as silicon oxide, TEOS, silicon nitride, silicon oxynitride, silicon carbide, amorphous silicon, diamond like carbon are presented. The influence of the process parameters such as: chamber pressure, substrate temperature, mass flow rate, RF Power and RF Power mode on deposition rate, film thickness uniformity, refractive index uniformity and film stress were analysed. The main challenge of thin films PECVD deposition for Microelectromechanical Systems (MEMS)and semiconductor devices is to optimize the deposition parameters for high deposition rate with low film stress which and if is possible at low deposition temperature.
APA, Harvard, Vancouver, ISO, and other styles
16

Radjef, Racim, Karyn L. Jarvis, Colin Hall, Andrew Ang, Bronwyn L. Fox, and Sally L. McArthur. "Characterising a Custom-Built Radio Frequency PECVD Reactor to Vary the Mechanical Properties of TMDSO Films." Molecules 26, no. 18 (September 16, 2021): 5621. http://dx.doi.org/10.3390/molecules26185621.

Full text
Abstract:
Plasma-polymerised tetramethyldisiloxane (TMDSO) films are frequently applied as coatings for their abrasion resistance and barrier properties. By manipulating the deposition parameters, the chemical structure and thus mechanical properties of the films can also be controlled. These mechanical properties make them attractive as energy adsorbing layers for a range of applications, including carbon fibre composites. In this study, a new radio frequency (RF) plasma-enhanced chemical vapour deposition (PECVD) plasma reactor was designed with the capability to coat fibres with an energy adsorbing film. A key characterisation step for the system was establishing how the properties of the TMDSO films could be modified and compared with those deposited using a well-characterized microwave (MW) PECVD reactor. Film thickness and chemistry were determined with ellipsometry and X-ray photoelectron spectroscopy, respectively. The mechanical properties were investigated by nanoindentation and atomic force microscopy with peak-force quantitative nanomechanical mapping. The RF PECVD films had a greater range of Young’s modulus and hardness values than the MW PECVD films, with values as high as 56.4 GPa and 7.5 GPa, respectively. These results demonstrated the varied properties of TMDSO films that could in turn be deposited onto carbon fibres using a custom-built RF PECVD reactor.
APA, Harvard, Vancouver, ISO, and other styles
17

BERDINSKY, A. S., P. S. ALEGAONKAR, H. C. LEE, J. S. JUNG, J. H. HAN, J. B. YOO, D. FINK, and L. T. CHADDERTON. "GROWTH OF CARBON NANOTUBES IN ETCHED ION TRACKS IN SILICON OXIDE ON SILICON." Nano 02, no. 01 (February 2007): 59–67. http://dx.doi.org/10.1142/s1793292007000386.

Full text
Abstract:
Carbon nanotubes (CNTs) were selectively grown in etched ion tracks in SiO 2 layers on Si . For this sake, Ni -catalyst nanocrystals were initially deposited within the ion tracks by galvanic deposition. The characteristics of plasma-enhanced chemical vapor deposition (PECVD)- and thermal chemical vapor deposition (TCVD)-grown CNTs, such as structural details and length distribution, were investigated. In addition, field emission properties were studied. The analysis revealed that the emerging PECVD-grown CNTs were of cylindrical and/or conical shape and usually had diameters as large as the etched tracks. The exponential length distribution of these CNTs can be well understood by applying a simple defect-growth model. For contrast, many narrow and curled CNTs were found to cluster in spots well separated from each other, after applying TCVD instead of PECVD. The Raman investigations of PECVD-grown CNTs showed that Si – O – C and Si – C phases had formed during the growth of the CNTs. These ion-track-correlated PECVD-grown CNTs open the way for the production of novel 3D nanoelectronic devices based on the TEMPOS concept. These structures are also excellent candidates for experiments on channeling in CNTs. Application as field emitting devices, however, appears unfavorable due to poor mean-field enhancement factors and insufficient stability.
APA, Harvard, Vancouver, ISO, and other styles
18

Bhushan, Bharat, Andrew J. Kellock, Nam-Hee Cho, and Joel W. Ager. "Characterization of chemical bonding and physical characteristics of diamond-like amorphous carbon and diamond films." Journal of Materials Research 7, no. 2 (February 1992): 404–10. http://dx.doi.org/10.1557/jmr.1992.0404.

Full text
Abstract:
Diamond-like (amorphous) carbon (DLC) films were prepared by dc magnetron sputtering and plasma enhanced chemical vapor deposition (PECVD) and diamond films were prepared by microwave plasma enhanced chemical vapor deposition (MPECVD). For the first time, chemical and mechanical characterization of the films from each category are carried out systematically and a comparison of the chemical and physical properties is provided. We find that DLC coatings produced by PECVD are superior in microhardness and modulus of elasticity to those produced by sputtering. PECVD films contain a larger fraction of sp3-bonding than the sputtered hydrogenated carbon films. Chemical and physical properties of the diamond films appear to be close to those of bulk diamond.
APA, Harvard, Vancouver, ISO, and other styles
19

Yang, Chen. "Effects of Gas Composition in Producing Carbon Nanomaterials by Plasma Enhanced Chemical Vapor Deposition." Journal of Physics: Conference Series 2152, no. 1 (January 1, 2022): 012052. http://dx.doi.org/10.1088/1742-6596/2152/1/012052.

Full text
Abstract:
Abstract Carbon nanomaterials are becoming new materials which can be used in many fields including transistors, sensors, displays, hydrogen storage, capacitors, catalyst supporters and so on. PECVD is an important method for producing carbon nanomaterials. However, it remains a challenge to control the final production of the PECVD process. This paper tries to figure out the gas factors influencing the growth of different carbon nanomaterials. This paper begins with a brief introduction of PECVD and the growth regime of carbon nanowalls and carbon nanotubes. It discusses how the Argon, carbon source, hydrogen and other gas affect the growth of CNMs. The paper ends with a discussion on the practical influence of confirming the function of each gas.
APA, Harvard, Vancouver, ISO, and other styles
20

Lee, Hyung Seok, Martin Domeij, Carl Mikael Zetterling, Mikael Östling, and Einar Ö. Sveinbjörnsson. "A Comparative Study of Surface Passivation on SiC BJTs with High Current Gain." Materials Science Forum 556-557 (September 2007): 631–34. http://dx.doi.org/10.4028/www.scientific.net/msf.556-557.631.

Full text
Abstract:
The effect of the different types of passivation layers on the current gain of SiC BJTs has been investigated. Measurements have been compared for BJTs passivated with thermal SiO2, plasma deposited (PECVD) SiO2 and BJTs without passivation. The maximum DC current gain of BJTs with thermal SiO2 was about 62 at IC=20 mA and Vce=40 V. On the other hand, the BJTs with a passivation by PECVD SiO2 had a DC current gain of only 25. The surface recombination current was extracted from measurements with BJTs of different emitter widths. The surface recombination current of BJTs with a thermally grown oxide was about 25 % lower than unpassivated BJTs and 65 % lower than that of PECVD passivated BJTs.
APA, Harvard, Vancouver, ISO, and other styles
21

Dose, V. "Multivariate analysis of PECVD data." Applied Physics A Solids and Surfaces 56, no. 6 (June 1993): 471–77. http://dx.doi.org/10.1007/bf00331398.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Dahlmann, Rainer, Christian Hopmann, Montgomery Jaritz, and Dennis Kirchheim. "Barriereausrüstung von Kunststoffen mittels PECVD." Vakuum in Forschung und Praxis 28, no. 1 (February 2016): 36–41. http://dx.doi.org/10.1002/vipr.201600603.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Schurink, Bart, Wesley T. E. van den Beld, Roald M. Tiggelaar, Robbert W. E. van de Kruijs, and Fred Bijkerk. "Synthesis and Characterization of Boron Thin Films Using Chemical and Physical Vapor Depositions." Coatings 12, no. 5 (May 16, 2022): 685. http://dx.doi.org/10.3390/coatings12050685.

Full text
Abstract:
Boron as thin film material is of relevance for use in modern micro- and nano-fabrication technology. In this research boron thin films are realized by a number of physical and chemical deposition methods, including magnetron sputtering, electron-beam evaporation, plasma enhanced chemical vapor deposition (CVD), thermal/non-plasma CVD, remote plasma CVD and atmospheric pressure CVD. Various physical, mechanical and chemical characteristics of these boron thin films are investigated, i.e., deposition rate, uniformity, roughness, stress, composition, defectivity and chemical resistance. Boron films realized by plasma enhanced chemical vapor deposition (PECVD) are found to be inert for conventional wet chemical etchants and have the lowest amount of defects, which makes this the best candidate to be integrated into the micro-fabrication processes. By varying the deposition parameters in the PECVD process, the influences of plasma power, pressure and precursor inflow on the deposition rate and intrinsic stress are further explored. Utilization of PECVD boron films as hard mask for wet etching is demonstrated by means of patterning followed by selective structuring of the silicon substrate, which shows that PECVD boron thin films can be successfully applied for micro-fabrication.
APA, Harvard, Vancouver, ISO, and other styles
24

Amirzada, Muhammad Rizwan, Yousuf Khan, Muhammad Khurram Ehsan, Atiq Ur Rehman, Abdul Aleem Jamali, and Abdul Rafay Khatri. "Prediction of Surface Roughness as a Function of Temperature for SiO2 Thin-Film in PECVD Process." Micromachines 13, no. 2 (February 17, 2022): 314. http://dx.doi.org/10.3390/mi13020314.

Full text
Abstract:
An analytical model to predict the surface roughness for the plasma-enhanced chemical vapor deposition (PECVD) process over a large range of temperature values is still nonexistent. By using an existing prediction model, the surface roughness can directly be calculated instead of repeating the experimental processes, which can largely save time and resources. This research work focuses on the investigation and analytical modeling of surface roughness of SiO2 deposition using the PECVD process for almost the whole range of operating temperatures, i.e., 80 to 450 °C. The proposed model is based on experimental data of surface roughness against different temperature conditions in the PECVD process measured using atomic force microscopy (AFM). The quality of these SiO2 layers was studied against an isolation layer in a microelectromechanical system (MEMS) for light steering applications. The analytical model employs different mathematical approaches such as linear and cubic regressions over the measured values to develop a prediction model for the whole operating temperature range of the PECVD process. The proposed prediction model is validated by calculating the percent match of the analytical model with experimental data for different temperature ranges, counting the correlations and error bars.
APA, Harvard, Vancouver, ISO, and other styles
25

Yang, Chih-Hsiang, Shui-Yang Lien, Chia-Ho Chu, Chung-Yuan Kung, Tieh-Fei Cheng, and Pai-Tsun Chen. "Effectively Improved SiO2-TiO2Composite Films Applied in Commercial Multicrystalline Silicon Solar Cells." International Journal of Photoenergy 2013 (2013): 1–8. http://dx.doi.org/10.1155/2013/823254.

Full text
Abstract:
Composite silicon dioxide-titanium dioxide (SiO2-TiO2) films are deposited on a large area of 15.6 × 15.6 cm2textured multicrystalline silicon solar cells to increase the incident light trapped within the device. For further improvement of the antireflective coatings (ARCs) quality, dimethylformamide (DMF) solution is added to the original SiO2-TiO2solutions. DMF solution solves the cracking problem, thus effectively decreasing reflectance as well as surface recombination. The ARCs prepared by sol-gel process and plasma-enhanced chemical vapor deposition (PECVD) on multicrystalline silicon substrate are compared. The average efficiency of the devices with improved sol-gel ARCs is 16.3%, only 0.5% lower than that of devices with PECVD ARCs (16.8%). However, from equipment depreciation point of view (the expiration date of equipment is generally considered as 5 years), the running cost (USD/watt) of sol-gel technique is 80% lower than that of PECVD method for the first five years and 66% lower than that of PECVD method from the start of the sixth year. This result proves that sol-gel-deposited ARCs process has potential applications in manufacturing low-cost, large-area solar cells.
APA, Harvard, Vancouver, ISO, and other styles
26

Lee, Eun-Jin, and Tae-Seon Kim. "Modeling of PECVD Oxide Film Properties Using Neural Networks." Journal of the Korean Institute of Electrical and Electronic Material Engineers 23, no. 11 (November 1, 2010): 831–36. http://dx.doi.org/10.4313/jkem.2010.23.11.831.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Im, Dong-Hyeok, Tae-Woong Yoon, Woo-Sig Min, and Sang-Jeen Hong. "Fabrication of Planar Heating Chuck Using Nichrome Thin Film as Heating Element for PECVD Equipment." Electronics 10, no. 20 (October 18, 2021): 2535. http://dx.doi.org/10.3390/electronics10202535.

Full text
Abstract:
Improving semiconductor equipment and components is an important goal of semiconductor manufacture. Especially during the deposition process, the temperature of the wafer must be precisely controlled to form a uniform thin film. In the conventional plasma-enhanced chemical vapor deposition (PECVD) chuck, heating rate, and temperature uniformity are limited by the spiral pattern and volume of the heating element. To overcome the structural limitation of the heating element of conventional chuck, we tried to develop the planar heating chuck (PHC), a 6-inch PECVD chuck with a planar heating element based on NiCr thin film that would be a good candidate for rapidly and uniformly heating. The time for the temperature elevation from room temperature to 330 °C was 398 s. In a performance evaluation, the fabricated PHC successfully completed a SiO2 PECVD process.
APA, Harvard, Vancouver, ISO, and other styles
28

Ikuno, Takashi, Syunji Takahashi, Kazunori Kamada, Shigeharu Ohkura, Shin-Ich Honda, Mitsuhiro Katayama, Takashi Hirao, and Kenjiro Oura. "Influence of the Plasma Condition on the Morphology of Vertically Aligned Carbon Nanotube Films Grown by RF Plasma Chemical Vapor Deposition." Surface Review and Letters 10, no. 04 (August 2003): 611–15. http://dx.doi.org/10.1142/s0218625x03005505.

Full text
Abstract:
Vertically aligned carbon nanotube (VACNT) films have been grown by RF plasma chemical vapor deposition (RF-PECVD) with a controlling plasma condition. From the in situ optical emission spectroscopy (OES) and self-bias measurements, we have investigated the relationship between the morphology of VACNTs and the plasma condition in PECVD. CH radical and atomic hydrogen peaks were prominent in the OES spectra of CH 4 plasma. The plasma condition was changed by varying the interelectrode distance in PECVD. With increasing interelectrode distance, the diameter and density of VACNTs increased as a result of the increase in plasma density, the fraction of CH radicals, and self-bias. It is likely that the fraction of CH radicals in plasma influences promotion of the growth of CNTs, while the self-bias induces their vertical alignment.
APA, Harvard, Vancouver, ISO, and other styles
29

Huang, Jian-Zhi, I.-Chih Ni, Yun-Hsuan Hsu, Shu-Wei Li, Yu-Chen Chan, Shin-Yi Yang, Ming-Han Lee, Shau-Lin Shue, Mei-Hsin Chen, and Chih-I. Wu. "Low-temperature synthesis of high-quality graphene by controlling the carbon-hydrogen ratio of the precursor." Nano Express 3, no. 1 (March 1, 2022): 015003. http://dx.doi.org/10.1088/2632-959x/ac3388.

Full text
Abstract:
Abstract A furnace-free inductively coupled plasma chemical vapor deposition (ICP-PECVD) system, which does not require sample heating, was used to grow graphene at a temperature below 300 °C. This studies have found that under low-temperature PECVD growth conditions, liquid precursors are more suitable for preparing low-temperature graphene precursors than gaseous precursors.​​​​ Hence, benzene is used as a carbon precursor to obtain a sheet resistance of approximately 1.24 kΩ sq−1. In this research, it was discovered that the carbon-hydrogen ratio of the precursor molecule is an important factor while using PECVD to grow graphene. This factor affects the quality of graphene and the sheet resistance value —when the carbon–hydrogen ratio for the precursor molecule is 1:1, graphene has the high quality and lowest sheet resistance; when it is less than 1:2, the graphene that cannot be deposited has the worst quality and sheet resistance. Furthermore, we found that methane, a precursor often used to deposit graphene, will etch graphene under low-temperature conditions, and that acetylene can be used as a precursor to deposit graphene. It was further proven that the carbon–hydrogen ratio of the precursor molecules in the PECVD process caused the reduction in the graphene temperature.
APA, Harvard, Vancouver, ISO, and other styles
30

Zimmermann, T., A. J. Flikweert, T. Merdzhanova, J. Woerdenweber, A. Gordijn, K. Dybek, F. Stahr, and J. W. Bartha. "High-Rate Deposition of Intrinsic a-Si:H and μc-Si:H Layers for Thin‑Film Silicon Solar Cells using a Dynamic Deposition Process." MRS Proceedings 1426 (2012): 27–32. http://dx.doi.org/10.1557/opl.2012.833.

Full text
Abstract:
ABSTRACTThin‑film silicon solar cells based on hydrogenated amorphous silicon (a‑Si:H) and hydrogenated microcrystalline silicon (μc‑Si:H) absorber layers are typically deposited using static plasma-enhanced chemical vapor deposition (PECVD) processes. It has been found that the use of very‑high frequencies (VHF) is beneficial for the material quality at high deposition rates when compared to radio-frequency (RF) processes. In the present work a dynamic VHF‑PECVD technique using linear plasma sources is developed. The linear plasma sources facilitate the use of very-high excitation frequencies on large electrode areas without compromising on the homogeneity of the deposition process. It is shown that state-of-the-art a‑Si:H and μc‑Si:H single-junction solar cells can be deposited incorporating intrinsic layers grown dynamically by VHF-PECVD at 0.35 nm/s and 0.95 nm/s, respectively.
APA, Harvard, Vancouver, ISO, and other styles
31

Yang, Qun Feng, Jian Yi Zheng, Jun Qing Wang, Jun Hui Lin, Xue Nan Zhao, and Gao Feng Zheng. "Research on some Key Mechanical Properties of Silicon Nitride Thin Films Deposited by PECVD." Applied Mechanics and Materials 742 (March 2015): 773–77. http://dx.doi.org/10.4028/www.scientific.net/amm.742.773.

Full text
Abstract:
The purpose of this work is to study the mechanical characteristics of the silicon nitride(SiNx) thin films prepared by PECVD technique, some researches as follows were carried out. First, the SiNx thin films were deposited on the two different substrates. Then, the atomic force microscope (AFM) was adopted to test the surface quality of the SiNxfilms, and the scanning electron microscope (SEM) was used to test the section morphology of the SiNxthin films. Finally, the rotating beam structures was applied to measure the residual stress in the SiNx films. The SiNxthin films with low stress can be fabricated through PECVD, in which the surface roughness values(Ra) are 1.261 nm and 2.383nm, and the residual stress is 43.5 kPa. Therefore, the SiNxthin films deposited by PECVD are suitable for the preparation of device dielectric films in MEMS.
APA, Harvard, Vancouver, ISO, and other styles
32

Esteve, Romain, Adolf Schöner, Sergey A. Reshanov, and Carl Mikael Zetterling. "Comparative Study of Thermal Oxides and Post-Oxidized Deposited Oxides on n-Type Free Standing 3C-SiC." Materials Science Forum 645-648 (April 2010): 829–32. http://dx.doi.org/10.4028/www.scientific.net/msf.645-648.829.

Full text
Abstract:
The electrical properties of oxides fabricated on n-type 3C-SiC (001) using wet oxidation and an advanced oxidation process combining SiO2 deposition with rapid post oxidation steps have been compared. Two alternative SiO2 deposition techniques have been studied: the plasma enhanced chemical vapor deposition (PECVD) and the low pressure chemical vapor deposition (LPCVD). The post-oxidized PECVD oxide is been demonstrated to be beneficial in terms of interface traps density and reliability.
APA, Harvard, Vancouver, ISO, and other styles
33

Wang, Zhi Jian, and Xiao Feng Shang. "The Simulation of Polycrystalline Silicon Thin Film Deposition in PECVD System." Advanced Materials Research 189-193 (February 2011): 2032–36. http://dx.doi.org/10.4028/www.scientific.net/amr.189-193.2032.

Full text
Abstract:
Taking Silicon tetrachloride (SiCl4) and hydrogen (H2) as the reaction gas, by the method of plasma-enhanced chemical vapor deposition (PECVD), this paper simulates the deposition process of polycrystalline silicon thin film on the glass substrates in the software FLUENT. Three dimensional physical model and mathematics model of the simulated area are established. The reaction mechanism including main reaction equation and several side equations is given during the simulation process. The simulation results predict the velocity field, temperature distribution, and concentration profiles in the PECVD reactor. The simulation results show that the deposition rate of silicon distribution is even along the circumference direction, and gradually reduced along the radius direction. The deposition rate is about 0.005kg/(m2•s) at the center. The simulated result is basically consistent with the practical one. It means that numerical simulation method to predict deposition process is feasible and the results are reliable in PECVD system.
APA, Harvard, Vancouver, ISO, and other styles
34

Desthieux, Anatole, Jorge Posada, Pierre-Philippe Grand, Cédric Broussillou, Barbara Bazer-Bachi, Gilles Goaer, Davina Messou, Muriel Bouttemy, Etienne Drahi, and Pere Roca i Cabarrocas. "Impact of PECVD μc-Si:H deposition on tunnel oxide for passivating contacts." EPJ Photovoltaics 11 (2020): 3. http://dx.doi.org/10.1051/epjpv/2020001.

Full text
Abstract:
Passivating contacts are becoming a mainstream option in current photovoltaic industry due to their ability to provide an outstanding surface passivation along with a good conductivity for carrier collection. However, their integration usually requires long annealing steps which are not desirable in industry. In this work we study PECVD as a way to carry out all deposition steps: silicon oxide (SiOx), doped polycrystalline silicon (poly-Si) and silicon nitride (SiNx:H), followed by a single firing step. Blistering of the poly-Si layer has been avoided by depositing (p+) microcrystalline silicon (μc-Si:H). We report on the impact of this deposition step on the SiOx layer deposited by PECVD, and on the passivation properties by comparing PECVD and wet-chemical oxide in this hole-selective passivating contact stack. We have reached iVoc > 690 mV on p-type FZ wafers for wet-chemical SiOx\(p+) μc-Si\SiNx:H with no annealing step.
APA, Harvard, Vancouver, ISO, and other styles
35

Park, Hyun Keun, Wan Soo Song, and Sang Jeen Hong. "In Situ Plasma Impedance Monitoring of the Oxide Layer PECVD Process." Coatings 13, no. 3 (March 5, 2023): 559. http://dx.doi.org/10.3390/coatings13030559.

Full text
Abstract:
The use of plasma in semiconductor fabrication processes has been continuously increasing because of the miniaturization of semiconductor device structure, and plasma enhanced chemical vapor deposition (PECVD) has become a major process in thin film deposition. As a consequence, plasma diagnosis has become crucial during the deposition process, but the lack of in situ plasma monitoring sensors requires further development of existing in situ sensors, such as the Langmuir probe and optical emission spectroscopy (OES), for in situ plasma process monitoring. In this study, electrically equivalent circuit models of the PECVD chamber functioned as a plasma impedance model with respect to the deposited thin film thickness while plasma impedance was measured using a radio frequency voltage–current (VI) probe. We observed a significant correlation between the deposited film thickness of the chamber wall and the measured impedance of the PECVD chamber cleaning application in the semiconductor industry.
APA, Harvard, Vancouver, ISO, and other styles
36

Orhan, Elif, Betül Aydın, Leyla Açık, Fatih Oz, and Theodoros Varzakas. "Antibacterial Efficiencies of CVD-PECVD Graphene Nanostructures Synthesized onto Glass and Nickel Substrates against Escherichia coli and Staphylococcus aureus Bacteria." Applied Sciences 11, no. 17 (August 27, 2021): 7922. http://dx.doi.org/10.3390/app11177922.

Full text
Abstract:
The antibacterial activity of graphene nanostructures (GrNs) on glass (G) and nickel (Ni) substrates against Escherichia coli ATCC 35218 (Gram-negative) and Staphylococcus aureus ATCC 25923 (Gram-positive) has been researched in this study. GrNs have been synthesized via two different methods, namely, chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD). While the antibacterial effect of CVD-grown graphene nanosheets has been examined according to the number of layers (monolayer/1–2 layers/2–3 layers), the effect of PECVD grown Gr nanowalls on G substrates has been also analyzed at 100, 150, and 200 W radio frequency (RF) powers. For CVD-grown graphene nanosheets, as the number of layers of graphene nanosheets decreased, the cell viability (%) of E. coli decreased from 100% to 51.4%. It has been shown that PECVD graphene nanowalls synthesized onto G substrates, especially at 200 W, exhibited stronger antibacterial activity against E. coli and S. aureus, and the cell viabilities of E. coli and S. aureus decreased from 100% to 25.19% and 100% to 9.02%, respectively. It is concluded that that both the nanowall (3D structure) morphology, which changes significantly with the presence of RF power, and the defects created on the graphene surface using the PECVD method are more effective against E. coli and S. aureus than CVD-grown graphene-based samples (2D-structure).
APA, Harvard, Vancouver, ISO, and other styles
37

Li, Zhuo Lin, Xiu Hua Fu, Jing Lu, Yong Liang Yang, and De Gui Sun. "Modelling and Optimization of DLC Film Thickness Variation for PECVD Processes." Key Engineering Materials 552 (May 2013): 214–20. http://dx.doi.org/10.4028/www.scientific.net/kem.552.214.

Full text
Abstract:
This paper describes a modeling method for film thickness variation within plasma enhanced chemical vapour deposition (PECVD) processes. The model enables the identification and optimization of deposition process sensitivities to electrode configuration, deposition system design and gas flow distribution. An comparison between theory and experiment is provided for PECVD of diamond-like-carbon (DLC) deposition onto flat and curved substrate geometries. This process utilizes butane reactive feedstock with an argon carrier gas. Radio-frequency plasma is used. The PECVD deposition system is based on co-planar 300mm diameter electrodes with separate RF power matching to each electrode. The system has capability to adjust the electrode separation as a parameter to optimize uniformity. Vacuum is achieved using dry pumps with real time control of butterfly valve position for active pressure control. Deposited film thickness sensitivities to electrode geometry, plasma power density, and pressure and gas flow distribution are demonstrated. In addition to film thickness uniformity, optical, durability and environmental performance of resulting DLC on germanium substrate materialreported.
APA, Harvard, Vancouver, ISO, and other styles
38

Liu, Na, Jeonghun Kim, Jeonghyeon Oh, Quang Trung Nguyen, Bibhuti Bhusan Sahu, Jeong Geon Han, and Sunkook Kim. "Growth of Multiorientated Polycrystalline MoS2 Using Plasma-Enhanced Chemical Vapor Deposition for Efficient Hydrogen Evolution Reactions." Nanomaterials 10, no. 8 (July 27, 2020): 1465. http://dx.doi.org/10.3390/nano10081465.

Full text
Abstract:
Molybdenum disulfide (MoS2) has attracted considerable attention as a promising electrocatalyst for the hydrogen evolution reaction (HER). However, the catalytic HER performance of MoS2 is significantly limited by the few active sites and low electrical conductivity. In this study, the growth of multiorientated polycrystalline MoS2 using plasma-enhanced chemical vapor deposition (PECVD) for the HER is achieved. The MoS2 is synthesized by sulfurizing a sputtered pillar-shaped Mo film. The relatively low growth temperature during the PECVD process results in multiorientated MoS2 with an expanded interlayer spacing of ~0.75 nm, which provides abundant active sites, a reduced Gibbs free energy of H adsorption, and enhanced intralayer conductivity. In HER applications, the PECVD-grown MoS2 exhibits an overpotential value of 0.45 V, a Tafel slope of 76 mV dec−1, and excellent stability in strong acidic media for 10 h. The high HER performance achieved in this study indicates that two-dimensional MoS2 has potential as an electrocatalyst for next-generation energy technologies.
APA, Harvard, Vancouver, ISO, and other styles
39

Kim, J. W., J. H. Boo, and D. B. Lee. "Oxidation of Amorphous BON Thin Films Grown by RF-PECVD." Korean Journal of Materials Research 14, no. 10 (October 1, 2004): 683–87. http://dx.doi.org/10.3740/mrsk.2004.14.10.683.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Porada, O. K., V. S. Manzhara, A. O. Kozak, V. I. Ivashchenko, and L. A. Ivashchenko. "Photoluminescence Properties of PECVD Si-C-N Films." Journal of Nano- and Electronic Physics 9, no. 2 (2017): 02022–1. http://dx.doi.org/10.21272/jnep.9(2).02022.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Greenhorn, Scott, Konstantinos Zekentes, Edwige Bano, Valerie Stambouli, and Andrei Uvarov. "Optimizing PECVD a-SiC:H Films for Neural Interface Passivation." Key Engineering Materials 947 (May 31, 2023): 83–88. http://dx.doi.org/10.4028/p-762f40.

Full text
Abstract:
This work aims to optimize Plasma-Enhanced Chemical Vapour Deposition (PECVD) amorphous hydrogenated silicon carbide (a-SiC:H) as a conformal passivation layer for invasive microelectrode array (MEA) neural interface applications. By carefully tuning the PECVD deposition parameters, the composition, structure, electrical, and mechanical properties of the films can be optimized for high resistivity, low stress, and great resistance to chemical attack. This optimization will eventually allow a-SiC:H to be used as an ideal insulation, passivation and protection layer for thin and biocompatible all-SiC neural interfaces.
APA, Harvard, Vancouver, ISO, and other styles
42

Britton, D. T., A. Hempel, M. Hempel, M. Härting, W. Bauer-Kugelmann, and Werner Triftshäuser. "Defect Characterisation of PECVD-Grown Diamond." Materials Science Forum 363-365 (April 2001): 511–13. http://dx.doi.org/10.4028/www.scientific.net/msf.363-365.511.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Vorotyntsev, A. V., L. A. Mochalov, A. S. Lobanov, A. V. Nezhdanov, V. M. Vorotyntsev, and A. I. Mashin. "PECVD synthesis of As–S glasses." Russian Journal of Applied Chemistry 89, no. 2 (February 2016): 179–84. http://dx.doi.org/10.1134/s1070427216020026.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Kao, Chien-kang, Hsun Chang, Wee-Yih Lim, Chuen-Horng Tsai, Cheng-Chung Chi, Nyan-Hwa Tai, and I.-Nan Lin. "Optical properties of PECVD TEOS-SiO2Films." Ferroelectrics 264, no. 1 (January 2001): 291–96. http://dx.doi.org/10.1080/00150190108008584.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Stoffel, A., A. Kovács, W. Kronast, and B. Müller. "LPCVD against PECVD for micromechanical applications." Journal of Micromechanics and Microengineering 6, no. 1 (March 1, 1996): 1–13. http://dx.doi.org/10.1088/0960-1317/6/1/001.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Chang, Jan-Jue, Thomas D. Mantei, Rama Vuppuladhadium, and Howard E. Jackson. "ECR Enhancement of Low Pressure PECVD Diamond Synthesis." MRS Proceedings 202 (1990). http://dx.doi.org/10.1557/proc-202-253.

Full text
Abstract:
ABSTRACTDiamond films have been synthesized by microwave Plasma Enhanced CVD (PECVD) and permanent magnet induced Electron Cyclotron Resonance (ECR) PECVD of hydrogen diluted methane. The films are characterized by SEM images and Raman spectroscopy. The diamond films grown by ECR-PECVD show clear-cut habits of cubic crystal in SEM images, while the film grown by microwave PECVD are the polycrystalline. A Raman line at 1333cm‒1 and broad band located at ∼1500 cm‒1 were obtained for the films grown by both methods. However, the film grown by microwave PECVD shows a larger broad band which is associated with an sp2-bonded graphitic phase
APA, Harvard, Vancouver, ISO, and other styles
47

Yoshida, Norimitsu, Takashi Itoh, Hiroki Inouchi, Hidekuni Harada, Katsuhiko Inagaki, Noriyuki Yamana, Kanta Yamamoto, Shuichi Nonomura, and Shoji Nitta. "Increase of Hydrogen-Radical Density and Improvement of The Crystalline Volume Fraction of Microcrystalline Silicon Films Prepared by Hot-Wire Assisted Pecvd Method." MRS Proceedings 609 (2000). http://dx.doi.org/10.1557/proc-609-a19.3.

Full text
Abstract:
ABSTRACTHigher crystalline Si volume fractions in hydrogenated microcrystalline silicon ( µc-Si:H) films have been achieved by the hot-wire assisted plasma enhanced chemical vapor deposition (HWA-PECVD) method compared with those in films by conventional PECVD. µc-Si:H films can also be prepared by HWA-PECVD under typical conditions used for preparing hydrogenated amorphous silicon (a-Si:H) films by PECVD, in which the hydrogen-dilution ratio (H2 / SiH4) is ∼ 10. The hot wire seems to produce hydrogen radicals. As a result, the HWA- PECVD method can control hydrogen-radical densities in the RF plasma, and this method can also control the ratio of hydrogen coverage at the surface of the film.
APA, Harvard, Vancouver, ISO, and other styles
48

Kwon, Y., J. Yu, J. J. McMahon, J. Q. Lu, T. S. Cale, and R. J. Gutmann. "Evaluation of Thin Dielectric-Glue Wafer-Bonding for Three Dimensional Integrated Circuit-Applications." MRS Proceedings 812 (2004). http://dx.doi.org/10.1557/proc-812-f6.16.

Full text
Abstract:
AbstractThe critical adhesion energy of benzocyclobutene (BCB)-bonded wafers is quantitatively investigated with focus on BCB thickness, material stack and thermal cycling. The critical adhesion energy depends linearly on BCB thickness, increasing from 19 J/m2 to 31 J/m2 as the BCB thickness increases from 0.4 μm to 2.6 μm, when bonding silicon wafers coated with plasma enhanced chemical vapor deposited (PECVD) silicon dioxide (SiO2). In thermal cycling performed with 350 and 400 oC peak temperatures, the significant increase in critical adhesion energy at the interface between BCB and PECVD SiO2 during the first thermal cycle is attributed to relaxation of residual stress in the PECVD SiO2 layer. On the other hand, the critical adhesion energy at the interface between BCB and PECVD silicon nitride (SiNx) decreases due to the increase of residual stress in the PECVD SiNx layer during the first thermal cycle.
APA, Harvard, Vancouver, ISO, and other styles
49

Li, Tong, Chun-Ying Chen, Charles T. Malone, and Jerzy Kanicki. "High-Rate Deposited Amorphous Silicon Nitride for the Hydrogenated Amorphous Silicon Thin-Film Transistor Structures." MRS Proceedings 424 (1996). http://dx.doi.org/10.1557/proc-424-43.

Full text
Abstract:
AbstractHydrogenated amorphous silicon nitride thin films, prepared in a large area plasma-enhanced chemical vapor (PECVD) deposition system utilizing high-rate deposition technique, have been characterized by various techniques. Experimental data obtained from this study are presented and compared to low-rate deposited PECVD films. Special attention has been devoted during this study to the difference between high- and low-rate deposited samples. The amorphous silicon (a-Si:H) thin-film transistors (TFTs) based on high-rate PECVD materials have been fabricated and characterized. The evaluation of a-Si:H TFTs indicates a good electrical performance which is comparable to its low-rate PECVD materials counterparts.
APA, Harvard, Vancouver, ISO, and other styles
50

Hoek, W. G. M. Van Den. "Characterization of Plasma-Enhanced Chemical Vapour Deposition of Silicon-Oxynitride." MRS Proceedings 68 (1986). http://dx.doi.org/10.1557/proc-68-335.

Full text
Abstract:
AbstractThe plasma-enhanced chemical vapour deposition (PECVD) of siliconoxynitride from silane, ammonia and nitrous oxide was studied using an ASM vertical parallel plate reactor.Films varying from PECVD oxide (refractive index no1.50) to PECVD nitride (n=2.00) were analyzed using ellipsometry, UV-visible reflection spectroscopy, Fourier-transform infrared transmission spectroscopy (FTIR), nuclear reaction analysis (NRA), Rutherford backscattering (RBS) and electron spectoscopy for chemical analysis (ESCA).Stress measurements were performed using a Fizeau interferometer.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography