Dissertations / Theses on the topic 'PECVD'

To see the other types of publications on this topic, follow the link: PECVD.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'PECVD.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Bohlen, Brandon Scott. "PECVD grown DBR for microcavity OLED sensor." [Ames, Iowa : Iowa State University], 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Sanchez, Mathon Gustavo. "Piezoelectric aluminum nitride thin films by PECVD." Limoges, 2009. https://aurore.unilim.fr/theses/nxfile/default/9224e391-3c48-4c10-9166-c2a2bed3c5f4/blobholder:0/2009LIMO4007.pdf.

Full text
Abstract:
Des couches minces polycristallines d'AIN ont été réalisées en utilisant une technique CVD assistée par plasma micro-onde. Les paramètres, distance plasma - injecteur, température du substrat, polarisation RF du porte - substrat ont été optimisés. Il a été possible de contrôler l’orientation préférentielle <0001> ou <1010>, intéressantes pour des applications piézoélectriques. Les mécanismes de croissance qui ont conduit au développement des microstructures dans les différentes conditions ont été expliqués. La comparaison avec une technique PVD a permis d’enricher la discussion. Les performances piézoélectriques des couches obtenues ont été caractérisées par construction des dispositifs électroacoustiques d’onde de surface et d’onde de volume. Seules les couches orientées <0001> ont montré une réponse piézoélectrique et une vitesse acoustique adéquates. Une analyse exhaustive a été conduite pour expliquer les possibles raisons de ces comportements
Polycrystalline aluminum nitride thin films were produced with a microwave-plasma enhanced chemical vapor deposition technique. The plasma-injector distance, the substrate temperature and the RF bias were the main variables which allowed achieving this objective. At the time, it was possible to control the preferential orientation as <0001> or <1010>, both interesting for piezoelectric applications. The growth mechanisms that conducted to film microstructure development under different process conditions were explained, enriched by the comparison with a physical vapor deposition sputtering technique. The obtained films were characterized in their piezoelectric performance, including the construction of surface acoustic wave devices and bulk acoustic wave devices. Adequate piezoelectric response and acoustic velocities were obtained for <0001> oriented films, while <1010> oriented films did not show piezoelectric response under the configurations essayed. An extensive analysis was done in order to explain these behaviors
APA, Harvard, Vancouver, ISO, and other styles
3

Mäder, Gerrit. "Atmosphärendruck-Plasma-Beschichtungsreaktoren." Stuttgart Fraunhofer-IRB-Verl, 2008. http://d-nb.info/991762533/04.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Ceiler, Martin Francis Jr. "The composition and properties of PECVD silicon dioxide." Thesis, Georgia Institute of Technology, 1993. http://hdl.handle.net/1853/11864.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Dominguez, Bucio Thalia. "NH3-free PECVD silicon nitride for photonic applications." Thesis, University of Southampton, 2018. https://eprints.soton.ac.uk/422874/.

Full text
Abstract:
Silicon Photonics has open the possibility of developing multilayer platforms based on complementary metal-oxide semiconductors compatible materials that have the potential to provide the density of integration required to fabricate complex photonic circuits. Amongst these materials, silicon nitride (SiN) has drawn attention due to its fabrication flexibility and advantageous intrinsic properties that can be tailored to fulfil the requirements of different linear and non-linear photonic applications covering the ultra-violet to mid-infrared wavelengths. Yet, the fabrication techniques typically used to grow SiN layers rely on processing temperatures > 400 C to obtain low propagation losses, which deem them inappropriate for multilayer integration. This thesis presents a systematic investigation that provided a comprehensive knowledge of a deposition method based on an NH3-free plasma enhanced chemical vapour deposition recipe that allows the fabrication of low-loss silicon nitride layers at temperatures < 400 C. The results of this study showed that the properties of the studied SiN layers depend mostly on their N/Si ratio, which is in fact one of the only properties that can be directly tuned with the deposition parameters. These observations provided a framework to optimise the propagation losses and optical properties of the layers in order to develop three platforms intended for specific photonic applications. The first one comprises 300nm stoichiometric SiN layers with refractive index (n) of 2 that enable the fabrication of photonic devices with propagation losses < 1 dB=cm at l = 1310nm and < 1:5 dB=cm at l = 1550 nm, which are good for applications that require efficient routing of optical signals. The second one consists on 600nm N-rich layers (n = 1.92) that allow fabricating both devices with propagation losses < 1 dB=cm at l = 1310 nm, apt for polarisation independent operation and coarse wavelength division multiplexing devices with cross-talk < 20 dB and low insertion losses. Finally, the last platform consisted of suspended Si-rich layers (n = 2.54) that permits the demonstration of photonic crystal cavities with Q factors as high as 122 000 and photonic crystal waveguides capable of operating in the slow-light regime. Hopefully, the demonstration of these platforms will stimulate the development of more complex SiN devices for multilayer routing, wavelength division multiplexing applications and non-linear integrated photonics in the future.
APA, Harvard, Vancouver, ISO, and other styles
6

Rangel, Elidiane Cipriano. "Implantação iônica em filmes finos depositados por PECVD." [s.n.], 1999. http://repositorio.unicamp.br/jspui/handle/REPOSIP/278415.

Full text
Abstract:
Orientador: Mario Antonio Bica de Moraes
Tese (doutorado) - Universidade Estadual de Campinas, Instituto de Fisica Gleb Wataghin
Made available in DSpace on 2018-07-26T03:43:23Z (GMT). No. of bitstreams: 1 Rangel_ElidianeCipriano_D.pdf: 787803 bytes, checksum: 0b3afb1a1012d775c5984bbf14f79319 (MD5) Previous issue date: 1999
Resumo: Neste trabalho, investigou-se a influência da implantação iônica sobre as propriedades de filmes finos de polímero depositados a partir de plasmas de radiofrequência (40 MHz, 70 W) de dois compostos orgânicos (acetileno e benzeno) e de suas misturas com gases nobres. As irradiações foram realizadas em um implantador iônico, com íons He+ , N+ e Ar+ , à fluências entre 1018 e 1021 íons/m2 e energias de 50 a 150 keV. As propriedades estruturais e ópticas dos filmes foram analisadas por espectroscopias no infravermelho e no ultravioleta-visível, respectivamente. Através de Ressonância Paramagnética de Elétrons, foi verificado que o bombardeamento iônico produz radicais livres na estrutura polimérica. A concentração destas espécies no filme foi investigada em função da energia e da fluência do feixe iônico. Variações nas concentrações dos elementos químicos presentes nas amostras com o bombardeamento iônico foram investigadas por Espectroscopia de Retro-espalhamento Rutherford. A espessura dos filmes foi medida com um perfilômetro, e associada aos dados obtidos por RBS, permitiu a determinação da densidade dos polímeros. Medidas de dureza dos filmes foram realizadas com a técnica de nanoindentação. Usando o método de duas pontas foi determinada a resistividade elétrica dos filmes e, através da exposição a plasmas de oxigênio, foi avaliada a resistência à oxidação. A interpretação dos resultados foi baseada nos perfis de perda de energia dos íons obtidos com o programa TRIM (TRansport of Ions in Matter)
Abstract: This work reports the influence of the ion implantation on the properties of thin plasma polymer films deposited from radiofrequency (40 MHz, 70 W) plasmas of two organic compounds (acetylene and benzene) and from their mixtures with noble gases. The irradiations were performed with an ion implanter, using He+, N+ and Ar+ ions, in the fluence and energy range of 1018 to 1021 ions/m2 and 50 to 150 keV, respectively. Infrared and ultraviolet-visible spectroscopies were employed to characterize the structural and optical properties of the films, respectively. Using Electron Paramagnetic Resonance spectroscopy, the formation of free radicals in the film structure was investigated as a function of the ion beam energy and fluence. Rutherford Backscattering Spectroscopy (RBS) was employed to determine the elemental composition of the samples and its change induced by the irradiation. Thicknesses of the films were measured with a profilemeter. Combination of the RBS and film thickness data allowed the determination of the density of the films. Hardness measurements were performed using the nanoindentation technique and the electrical resistivity of the films was determined by the two-point probe. The resistance to oxidation was determined from the etching rate of the polymers in an oxygen plasma. Interpretation of various experimental results were based on the implanted ion and energy loss simulation profiles, obtained with the TRansport of Ions in Matter ¿ TRIM code
Doutorado
Física
Doutor em Ciências
APA, Harvard, Vancouver, ISO, and other styles
7

Wu, Lingling. "Surface processing by RFI PECVD and RFI PSII." W&M ScholarWorks, 2000. https://scholarworks.wm.edu/etd/1539623997.

Full text
Abstract:
An RFI plasma enhanced chemical vapor deposition (PECVD) system and a large-scale RF plasma source immersion ion implantation (PSII) system were designed and built to study two forms of 3-D surface processing, PECVD and PSII. Using the RFI PECVD system, Ti-6Al-4V substrates were coated with diamond-like carbon films with excellent tribological and optical properties. as an innovation, variable angle spectroscopic ellipsometry (VASE) was successfully applied for non-destructive, 3-D, large-area tribological coatings quality investigation.;Based on the experience with the RFI PECVD system, a large-scale RFICP source was designed and built for the PSIL Langmuir probe and optical emission spectroscopy studies indicated that the RFI source produced stable, uniform, and clean plasma. MAGIC code was for the first time used to model PSII process, addressing different target geometries and boundaries, materials, plasma parameters, illustrated sheath formation and evolution, field distribution, ion and electron trajectories, ion incident angles, and dose distributions, which are critical for PSII design and understanding.;The RF PSII system was developed into a versatile large-area, uniform, 3-D surface processing apparatus, capable of PSII, PVD, PECVD, and in situ surface cleaning and interface properties modification, for multilayer, multi-step, and high performance surface engineering. Using the RFI PSII system, for the first time, PSII was studied as a mask-based surface layer conversion technique, for pattern writing by implantation as an alternative to current deposition-based and ink-based direct write technologies. It operates at low substrate temperature, keeps the original surface finish and dimensions, and avoids adhesion problem. A different operating mode of the RF source was discovered to perform biased sputtering of high purity quartz, which turned the RFI PSII system into a novel integrated RF PSII/PVD system for large-area, uniform, nitrogen-doped, and hydrogen-free SiO2 films deposition at low substrate temperatures. Nitrogen-doped SiO2 films with excellent optical properties were deposited on semiconductor, metal, and polymer substrates with excellent adhesion. Ellipsometry was used again for non-destructive SiO2 coatings investigation. FEL test electrodes processed by PSII/PVD showed suppressed field emission. A group of transition metals and an FEL test electrode were also implanted by nitrogen using the PSII mode and analyzed.
APA, Harvard, Vancouver, ISO, and other styles
8

CHAKRAVARTY, SRINIVAS L. N. "DEVELOPMENT OF SCRATCH RESISTANT PECVD SILICA-LIKE FILMS." University of Cincinnati / OhioLINK, 2000. http://rave.ohiolink.edu/etdc/view?acc_num=ucin973542599.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Zhu, Mingyao. "Carbon nanosheets and carbon nanotubes by RF PECVD." W&M ScholarWorks, 2006. https://scholarworks.wm.edu/etd/1539623509.

Full text
Abstract:
A planar antenna RF plasma enhanced chemical vapor deposition apparatus was built for carbon nanostructure syntheses. When operated in inductive and capacitive plasma discharging modes, two carbon nanostructures, carbon nanotube (CNT) and carbon nanosheet (CNS), were synthesized, respectively.;A nanosphere lithography method was developed and used to prepare catalyst patterns for CNT growth. Using capacitively coupled C2H2/NH 3 plasma, randomly oriented CNT were synthesized on Ni dot patterned Si substrates. Aligned CNT arrays were grown on SiO2 coated Si substrates, using both C2H2/NH3 and CH 4/H2 capacitive plasmas.;When operated in inductive coupling mode, CNS were successfully deposited on a variety of substrates without any catalyst. Carbon nanosheets are a novel two-dimensional structure, have smooth surface morphologies and atomically thin edges, and are free-standing roughly vertical to substrate surfaces. CNS have a defective graphitic crystalline structure, and contain only C and H elements. Typical CNS growth parameters are 680??C substrate temperature, 40% CH4 in H2, 900 W RF power, and 100 mTorr total gas pressure. Morphology, growth rate, and structure of CNS change with the variations in the growth parameters. Increasing substrate temperature yields a less smooth morphology, a faster growth rate, and more defects in CNS; increasing CH 4 concentration causes a faster growth rate and more defects in CNS, but only slightly changes the morphology; increasing RF power results in a more smooth morphology, a faster growth rate, and less defects in CNS; and decreasing total gas pressure induces a less smooth morphology, a faster growth rate, and more defects in CNS.;In CNS growth mechanism, a base layer forms underneath the vertical sheets; the growth of CNS is through growth species surface diffusion; the electric field near substrate surfaces promotes and keeps the vertical orientation of the CNS, and the atomic hydrogen etching keeps the CNS atomically thin.;Carbon nanosheets have large surface areas, and can stabilize metal thin films into particles 3-5 nm in diameters. For field emission testing, typical CNS have turn-on fields of 5-10 V/mum, a maximum emission current of 28 mA, an emission current density of 2 mA/mm2, and a life-time of 200 hours.
APA, Harvard, Vancouver, ISO, and other styles
10

Hartel, Andreas Markus [Verfasser], and Margit [Akademischer Betreuer] Zacharias. "Structural and optical properties of PECVD grown silicon nanocrystals embedded in SiOxNy matrix = Strukturelle und Optische Eigenschaften mittels PECVD hergestellter Silicium Nanokristalle." Freiburg : Universität, 2013. http://d-nb.info/1114995673/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Schindhelm, Jessica [Verfasser]. "Untersuchungen an PVD-PECVD-Kombinationsschichtsystemen auf Stahl / Jessica Schindhelm." Aachen : Shaker, 2011. http://d-nb.info/1074087836/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Chen, Wan Lam Florence Photovoltaics &amp Renewable Energy Engineering Faculty of Engineering UNSW. "PECVD silicon nitride for n-type silicon solar cells." Publisher:University of New South Wales. Photovoltaics & Renewable Energy Engineering, 2008. http://handle.unsw.edu.au/1959.4/41277.

Full text
Abstract:
The cost of crystalline silicon solar cells must be reduced in order for photovoltaics to be widely accepted as an economically viable means of electricity generation and be used on a larger scale across the world. There are several ways to achieve cost reduction, such as using thinner silicon substrates, lowering the thermal budget of the processes, and improving the efficiency of solar cells. This thesis examines the use of plasma enhanced chemical vapour deposited silicon nitride to address the criteria of cost reduction for n-type crystalline silicon solar cells. It focuses on the surface passivation quality of silicon nitride on n-type silicon, and injection-level dependent lifetime data is used extensively in this thesis to evaluate the surface passivation quality of the silicon nitride films. The thesis covers several aspects, spanning from characterisation and modelling, to process development, to device integration. The thesis begins with a review on the advantages of using n-type silicon for solar cells applications, with some recent efficiency results on n-type silicon solar cells and a review on various interdigitated backside contact structures, and key results of surface passivation for n-type silicon solar cells. It then presents an analysis of the influence of various parasitic effects on lifetime data, highlighting how these parasitic effects could affect the results of experiments that use lifetime data extensively. A plasma enhanced chemical vapour deposition process for depositing silicon nitride films is developed to passivate both diffused and non-diffused surfaces for n-type silicon solar cells application. Photoluminescence imaging, lifetime measurements, and optical microscopy are used to assess the quality of the silicon nitride films. An open circuit voltage of 719 mV is measured on an n-type, 1 Ω.cm, FZ, voltage test structure that has direct passivation by silicon nitride. Dark saturation current densities of 5 to 15 fA/cm2 are achieved on SiN-passivated boron emitters that have sheet resistances ranging from 60 to 240 Ω/□ after thermal annealing. Using the process developed, a more profound study on surface passivation by silicon nitride is conducted, where the relationship between the surface passivation quality and the film composition is investigated. It is demonstrated that the silicon-nitrogen bond density is an important parameter to achieve good surface pas-sivation and thermal stability. With the developed process and deeper understanding on the surface passivation of silicon nitride, attempts of integrating the process into the fab-rication of all-SiN passivated n-type IBC solar cells and laser doped n-type IBC solar cells are presented. Some of the limitations, inter-relationships, requirements, and challenges of novel integration of SiN into these solar cell devices are identified. Finally, a novel metallisation scheme that takes advantages of the different etching and electroless plating properties of different PECVD SiN films is described, and a preliminary evalua-tion is presented. This metallisation scheme increases the metal finger width without increasing the metal contact area with the underlying silicon, and also enables optimal distance between point contacts for point contact solar cells. It is concluded in this thesis that plasma enhanced chemical vapour deposited silicon nitride is well-suited for n-type silicon solar cells.
APA, Harvard, Vancouver, ISO, and other styles
13

Amorim, Hermes Antonio de. "Caracterização de filmes finos de carbono depositados por PECVD." [s.n.], 1995. http://repositorio.unicamp.br/jspui/handle/REPOSIP/261359.

Full text
Abstract:
Orientador: Edmundo da Silva Braga
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica
Made available in DSpace on 2018-07-20T14:57:33Z (GMT). No. of bitstreams: 1 Amorim_HermesAntoniode_M.pdf: 3926898 bytes, checksum: 445bd487145c83bb548a91a8f4d40295 (MD5) Previous issue date: 1995
Resumo: Neste trabalho é apresentado um estudo sobre a obtenção de filmes finos de carbono por deposição química a partir da fase de vapor assistida por plasma de RF. (RF-PECVD), com posterior caracterização dos mesmos através de diferentes técnicas. Inicialmente são apresentadas características gerais sobre o processo de obtenção dos filmes por PECVD, e alguns parâmetros do processo que podem influenciar nas propriedades físico-químicas dos filmes. A seguir são descritas algumas das importantes técnicas utilizadas na caracterização de filmes finos, como Microscopia Eletrônica de Transmissão (TEM), Padrão de Difração de Elétrons, Espectroscopia por Perda de Energia de Elétrons (EELS), Espectroscopia na Região do Infravermelho por Transformada de Fourier (FTIR), sendo que essas técnicas foram utilizadas na caracterização dos filmes obtidos. Os filmes obtidos são classificados como filmes amorfos de carbono tipo diamante, constituídos de carbono e hidrogênio, transparentes na região do infravermelho, com índice de refração igual a 2,07, densidade de 1,67 g.cm-3 , gap óptico com valor de 1,34 eV e constante dielétrica em torno de 4. Apresentam coeficiente de extinção próximo de zero e coeficiente de absorção em torno de 105 cm-1 quando a energia é superior a 3,5eV
Mestrado
Mestre em Engenharia Elétrica
APA, Harvard, Vancouver, ISO, and other styles
14

Antunes, Vinícius Gabriel 1984. "Deposição e caracterização físico-química de filmes finos nanoestruturados (nanocompósitos) contendo Ti, C, N e O, Obtidos a partir de um precursor líquido (Ti(OC2H5)4)." [s.n.], 2014. http://repositorio.unicamp.br/jspui/handle/REPOSIP/276978.

Full text
Abstract:
Orientador: Fernando Alvarez
Dissertação (mestrado) - Universidade Estadual de Campinas, Instituto de Física Gleb Wataghin
Made available in DSpace on 2018-08-24T22:24:16Z (GMT). No. of bitstreams: 1 Antunes_ViniciusGabriel_M.pdf: 3019304 bytes, checksum: 07f935b74d9527a192ce26b38e17afda (MD5) Previous issue date: 2014
Resumo: Os tratamentos de superfície a plasma são amplamente usados em diversas áreas de tecnologia, tais como: indústria metalmecânica, microeletrônica, plástico e medicina, para o crescimento de filmes finos, camadas protetoras em instrumentos e ferramentas de corte, funcionalização de superfícies plásticas, tecidos sintéticos, esterilização de instrumentos cirúrgicos e plasma reativo em semicondutores. Há alguns anos, uma nova família de filmes duros e protetores com baixo atrito compostos por nanoestrururas, tem sido intensamente pesquisada por apresentar propriedades particularmente interessantes, do ponto de vista da físico-química básica, como possíveis aplicações tecnológicas em que a demanda por desgaste, altas temperatura, e resistência mecânica são necessárias. A deposição de filmes finos, constituídos por nanocompósitos, e a compreensão de propriedades (mecânicas, elétricas, ópticas) desses novos materiais, gera um leque de possíveis aplicações tecnológicas. A partir de tais premissas, focalizamos o objetivo do trabalho em estudar a obtenção e caracterização das propriedades físico-químicas de filmes finos nanoestruturados (nanocompósitos), que contêm compostos de Ti, C, N, e O, utilizando a técnica de Deposição Química pela Decomposição do precursor líquido tetraetóxido de titânio (Ti(OC2H5)4) (PECVD, na sigla em inglês). Ressalta-se que o interesse em obter esses materiais, a partir do reagente em questão, reside no fato de que ele poderia substituir o uso de outros precursores de difícil manuseio, tais como o tetracloreto de titânio (TiCl4), o qual é normalmente utilizado em numerosas aplicações de deposições de filmes compósitos, mediante a técnica de PECVD, e cujo subproduto da reação gera reagentes altamente corrosivos. Em resumo, este trabalho contempla a caracterização da composição química, micro e nano estrutura de compósitos que contêm os compostos mencionados, em função das variáveis mais importantes de deposição. O presente estudo foi bem sucedido em obter nanocompósito e correlacionar a dependência das ligações químicas do material com os parâmetros mais importantes de deposição
Abstract: Plasma surface treatments are widely used in several technological areas (e.g., metallurgic industry, microelectronic, plastic industry, medicine) in order to obtain hard coatings on cutting tools and instruments, funtionalization of plastics and synthetic materials used in the textile industry, sterilization of chirurgic instruments, etching by reactive plasma in the semiconductor industry, etc. Recently, a new family of coatings deposited by plasma assisted techniques owing interesting physical chemical properties such as super-hardness, low friction and wear resistant, temperature and corrosion resistant, known as nano-composites, have been intensely studied.The objectives of this work is developing a nano composite containing Ti, C, N e O and understand as far as possible its physical and chemical properties. The material is obtained by plasma assisted enhanced chemical deposition (PECVD) using Titanium(IV) ethoxide ( (Ti(OC2H5)4) and N as precursors of the reaction. The importance of the attempt to use the cited precursor resides in the fact that it is a friendly liquid without corrosive o major toxic effects, as the normal precursors used in the actual industrial process (e.g., titanium tetrachloride, TiCl4). Summarizing, this work is focusing the research in the deposition and study of the physical and chemical properties of thin films nano composites obtained from Titanium(IV) ethoxide precursor. The study shows that the deposition procedure allows obtaining a material containing nanoscopics size crystallites of the compounds cited above. Finally, the relation of the material properties with the more important deposition parameters is discussed and presented
Mestrado
Física
Mestre em Física
APA, Harvard, Vancouver, ISO, and other styles
15

Grant, David James. "Bottom-Gate TFTs With Channel Layer Deposited by Pulsed PECVD." Thesis, University of Waterloo, 2004. http://hdl.handle.net/10012/805.

Full text
Abstract:
Nanocrystalline silicon (nc-Si:H) is a promising material for Thin-Film Transistors (TFTs) offering potentially higher mobilities and improved stability over hydrogenated amorphous silicon (a-Si:H). The slow growth rate of nc-Si:H can be overcome by using pulsed Plasma-Enhanced Chemical Vapour Deposition (PECVD). Pulsed PECVD also reduces powder particle formation in the plasma and provides added degrees of freedom for process optimization. Unlike high frequency PECVD, pulsed PECVD can be scaled to deposit films over large areas with no reduction in performance. For this thesis, silicon thin films were deposited by the pulsed PECVD technique at a temperature of 150 °C and TFTs were made using this material. Radio Frequency (RF) power and silane (SiH4) flow rate were varied in order to study the effect of different levels of crystallinity on the film. Raman spectroscopy, Atomic Force Microscope (AFM), X-Ray Diffraction (XRD), electrical conductivity, Hall mobility, optical band gap, and stability under light-soaking were measured using films of two different thicknesses, 50 nm and 300 nm. From the Raman data we see that the 50 nm films deposited with high hydrogen dilution are mostly amorphous, indicating the presence of a thick incubation layer. The 300nm samples deposited with hydrogen dilution, on the other hand, showed very high crystallinity and conductivity, except for 300-2 which was surprisingly, mostly amorphous. AFM and XRD measurements were also performed to confirm the Raman data and get an estimate for the crystallite grain size in the 300 nm samples. The conductivity was measured for all films, and the Hall mobility and carrier concentration was measured for one of the 300 nm films. The thin samples which are mostly amorphous show low conductivity whereas the thick high crystallinity films show high conductivity, and n-type behaviour possibly due to oxygen doping. The optical gap was also measured using Ultra Violet (UV) light and results indicate the possible presence of small crystallites in the 50 nm films. The conductivity's stability under light-soaking was measured to observe the material's susceptibility to degradation, and the 300 nm with high crystallinity were much more stable than the a-Si:H films. All the results of these measurements varied depending on the film and these results are discussed. Bottom-gate TFTs were fabricated using a pulsed PECVD channel layer and an amorphous silicon nitride (a-SiN:H) gate dielectric. The extracted parameters of one of the best TFTs are μsat ≤ 0. 38 cm2 V-1 s-1, Vt,sat ≥ 7. 3 V, Ion/off > 106, and S < 1 V/decade. These parameters were extracted semi-automatically from the basic Field-Effect Transistor (FET) model using a computer program. Extraction using a more complicated model yielded similar results for mobility and threshold voltage but also gave a large power parameter α of 2. 31 and conduction band tail slope of 30 meV. The TFT performance and material properties are presented and discussed. On this first attempt at fabricating TFTs using a nc-Si:H channel layer deposited by pulsed PECVD, results were obtained which are consistent with results for low temperature a-Si:H TFTs and previous pulsed PECVD TFTs. The channel layer was mostly amorphous and non-crystalline, possibly due to the amorphous substrate or insufficient hydrogen dilution in the plasma. The 300 nm films showed, however, that high crystallinity material deposited directly on glass can easily be obtained, and this material showed less degradation under light-soaking than the purely amorphous counterpart. Pulsed PECVD is a promising technique for the growth of nc-Si:H and with further materials development and process optimization for TFTs, it may prove to be useful for the growth of high-quality nc-Si:H TFT channel layers.
APA, Harvard, Vancouver, ISO, and other styles
16

Spooner, Marc, and mas109@rsphysse anu edu au. "The Application and Limitations of PECVD for Silicon-based Photonics." The Australian National University. Research School of Physical Sciences and Engineering, 2006. http://thesis.anu.edu.au./public/adt-ANU20070315.043442.

Full text
Abstract:
This thesis presents results on the applications and limitations of plasma enhanced chemical vapour deposition for silicon-based photonics, with an emphasis on optical microcavities for the control of light emission from silicon nanocrystals. ¶ Silicon nanocrystals were formed by precipitation and growth within Si-rich oxide layers (SiOx) deposited by plasma enhanced chemical vapour deposition. The films were found to exhibit strong room temperature photoluminescence, with the optimum emission depending on the composition and processing of the films. The strongest emission was achieved for films with a silicon content of ~40%, following hydrogen passivation. Hydrogen was introduced into the samples by two different methods: by annealing in forming gas (95% N2: 5% H2) or by annealing with a hydrogenated silicon nitride capping layer. Both methods caused an increase in photoluminescence intensity due to the passivation of defects. In contrast, the presence of low levels of iron and gold were shown to reduce the concentration of luminescent nanocrystals due to the creation of non-radiative centres. ¶ Optical microcavity structures containing silicon nanocrystals were also fabricated by Plasma enhanced chemical vapour deposition, using silicon dioxide, silicon nitride and silicon-rich oxide layers. The microcavities consisted of a silicon-rich oxide layer between two distributed Bragg reflectors formed of alternating silicon dioxide/nitride layers. The optical emission from these and related structures were examined and compared with that from individual layers in the structure. This revealed a complex interplay between defect and nanocrystal luminescence, hydrogen passivation and materials structure. The resulting microcavity structures were shown to be suitable for producing a stop-band over the wavelength range of interest for nanocrystal emission, 500-1000nm, and to produce significant intensity enhancement and spectral narrowing. Quality factors of 50-200 were demonstrated. ¶ The application of plasma deposited films was shown to be limited by stress-induced failure that resulted in cracking and delamination of the films during annealing. The SiOx films thicker than about 600nm failed predominantly by cracking. This was shown to be caused by tensile stress in the film caused by hydrogen desorption during high temperature annealing. The resulting cracks showed preferred alignment depending on the crystallographic orientation of the silicon substrate. For films deposited on (100) silicon, two modes of crack propagation were observed, straight cracks aligned along < 100> directions, and wavy cracks aligned along < 110> directions. For films deposited on (110) silicon, straight cracks were observed along [-1 10] directions, with a lesser number aligned along [001] directions. Cracks were also observed for films on (111) silicon. These showed 3-fold symmetry consistent with crack propagation along < 211> directions due to plastic deformation. Details of these crack geometries and their dependencies are discussed.
APA, Harvard, Vancouver, ISO, and other styles
17

Martins, Gustavo da Silva Pires. "Filtros interferenciais construídos com dielétricos depositados pela técnica de PECVD." Universidade de São Paulo, 2008. http://www.teses.usp.br/teses/disponiveis/3/3140/tde-11082008-215318/.

Full text
Abstract:
Neste trabalho é apresentada a simulação, fabricação e caracterização de filtros interferenciais empregando películas dielétricas amorfas depositadas pela técnica de deposição a vapor assistida por plasma (PECVD) sobre substratos de silício e de Corning Glass (7059). Os dispositivos ópticos foram construídos usando-se processos padrões de microeletrônica e consistiram em camadas periódicas com espessura e índice de refração apropriados para produzir picos da atenuação na transmitância da luz na região visível. Simulações numéricas precedentes foram realizadas baseando-se nas características ópticas das películas dielétricas. Para a caracterização dos filtros interferenciais, uma luz monocromática de um laser de He-Ne, foi injetada nos filtros e a luz obtida na saída foi conduzida então a um detector. O filtro depositado sobre Corning Glass (chamado de filtro vertical) e o filtro depositado sobre silício com cavidades (chamado de filtro suspenso) foram montados sobre dispositivos térmicos e angulares de modo a medir suas respostas à variação angular e térmica. Também, o filtro depositado sobre silício (chamado de filtro horizontal) foi montado sobre um dispositivo térmico, a fim de medir sua resposta à temperatura. Quando os filtros são submetidos a uma mudança na temperatura, uma variação do índice de refração devido ao efeito termo-óptico produz um deslocamento nos picos da atenuação, que podem ser previstos por simulações numéricas. Esta característica permite que estes dispositivos sejam usados como sensores termo-ópticos. Por outro lado, quando o filtro vertical e o filtro suspenso são submetidos a variações angulares entre a normal ao plano do filtro e o feixe de laser, uma variação na potência da luz de saída é produzida. Esta característica permite que estes dispositivos sejam usados como sensores angulares.
In this work, we present the simulation, fabrication and characterization of filters employing amorphous dielectric films deposited by Plasma Enhanced Chemical Vapor Deposition (PECVD) technique on crystalline silicon and Corning Glass (7059) substrates. The optical devices were fabricated using standard microelectronic processes and consisted of periodic layers with appropriated thickness and refractive indexes to produce transmittance attenuation peaks in the visible region. For this, previous numerical simulations were realized based in the optical parameters of the dielectric films. For the characterization of the optical interferential filters, a monochromatic light, a He-Ne laser, was projected onto the filters and the transmitted output light was then conducted to a detector. The optical filters were produced on Corning Glass (here called vertical filter) and on silicon substrates. The silicon substrate was etch in KOH solution to form cavities and suspend part of the filter (here called suspended filter). The vertical and suspended filters were mounted on thermo and angular devices that allowed the measurement of the optical power as a function of temperature and angle changes. A second type of filter deposited over a silicon substrate (here called horizontal filter) was mounted on thermoelectric device, in order to control the temperature responses. When the filters are submitted to a change in temperature, a variation of the refractive index is originated in the dielectric film due to the thermo-optic effect (TOE), producing a shift in the attenuation peaks, which can be well predicted by numerical simulations. This characteristic allows these devices to be used as thermo-optic sensors. On the other hand, when the vertical filter and the suspended filter were subjected to an angular shift between the filter\'s normal and the laser, a variation of the output optical power is originated. This characteristic allows these devices to be used as angular sensors.
APA, Harvard, Vancouver, ISO, and other styles
18

Viard, Jocelyn. "Caractérisations de couches minces d'oxynitrures de silicium élaborées par PECVD." Montpellier 2, 1996. http://www.theses.fr/1996MON20034.

Full text
Abstract:
Realise en collaboration avec la societe essilor, l'objectif de ces travaux etait l'etude des films d'oxynitrures de silicium realises par pecvd, en tant que constituants de couches antireflet sur des substrats de verres polymeres. Les films minces sont elabores a partir de sih#4, n#2o, nh#3. La puissance et la pression restent fixes, seule varie la composition de la phase gazeuse en gardant un debit total constant. Les diverses methodes utilisees (meb, xps-aes, reflectometrie des rayons x rasants, ftir, ellipsometrie, nanoindentation) ont permis de caracteriser ces films du point de vue physicochimique, optique et mecanique. Ils ont des caracteristiques proches de celles de la silice vitreuse pour les compositions de type sio#x:h. Par contre, les couches de type sin#y:h conduisent a une densite, un indice et une nanodurete plus faibles que les valeurs connues de si#3n#4 massif. Les oxynitrures de silicium possedent, quant a eux des caracteristiques intermediaires dont l'evolution n'est pas lineaire avec la composition. Les decompositions des bandes si2p en x. P. S. Et si-h en spectroscopie d'absorption infrarouge, montrent que les oxynitrures de silicium deposes peuvent etre decrits comme un melange homogene des liaisons correspondant mieux au modele des liaisons aleatoires plutot qu'a un melange de phases. D'autre part, la variation de l'indice en fonction du rapport n/(n+o) a ete modelisee, en faisant intervenir la composition et la densite par les relations de bruggeman et de clausius-mossoti. Ces couches ont permis de realiser un revetement antireflet bicouche possedant des caracteristiques proches de celles calculees
APA, Harvard, Vancouver, ISO, and other styles
19

Point, Sébastien. "Synthèse par PECVD et caractérisation de nanotubes de carbone orientés." Nantes, 2005. http://www.theses.fr/2005NANT2024.

Full text
Abstract:
Ce travail est consacré à l'étude de la synthèse de nanotubes de carbone (NTC) orientés par plasma froid basse pression excité par résonance cyclotronique électronique (ECR PECVD). Ces travaux portent également sur la caractérisation structurale et physico-chimique des NTC. Les paramètres explorés sont la nature des catalyseurs (Ni, Fe, Pd) déposés par pulvérisation plasma (PVD), la température du substrat, la composition du gaz plasmagène (C2H2/NH3 ou C2H2/H2). Ce procédé ECR PECVD permet la synthèse de NTC dès 550°C. La microscopie électronique à balayage et à transmission (MEB, MET) renseigne sur la vitesse de croissance et l'orientation des NTC. L'analyse de l'environnement chimique (XPS, XANES et EELS) révèle l'incorporation d'azote dans la structure des NTC synthétisés en C2H2/NH3 et indique les configurations les plus probables. Enfin, des nanofibres de carbone amorphe ont été obtenues à température ambiante en associant un plasma ECR d'acétylène et une source d'atomes d'azote
This work concerns the synthesis of oriented carbon nanotubes (CNT) using a low pressure microwave plasma excited by electron cyclotron resonance (ECR PECVD). The CNT structure and chemical environment are studied as a function of the nature of the metallic catalyst (Ni, Fe, Pd) deposited by plasma sputtering (PVD), of the substrate temperature and of the composition of the gas mixture (C2H2/NH3 or C2H2/H2). This ECR PECVD process allows the growth of oriented CNT from 550°C. Information on the deposition rate and the structure of CNT are provided by scanning (SEM) and transmission (TEM) electron microscopy analyses. XPS, XANES and EELS analyses show that nitrogen is incorporated into the CNT walls when C2H2/NH3 plasma is used. As well, the dominant nitrogen environment was characterized. In addition, carbon nanofibers have been obtained at room temperature by associating to ECR acetylene plasma a nitrogen atom source
APA, Harvard, Vancouver, ISO, and other styles
20

Belin, Joffrey. "Fabrication de filtres interférentiels par dépôt PECVD pour l'éclairage LED." Mémoire, Université de Sherbrooke, 2017. http://hdl.handle.net/11143/10670.

Full text
Abstract:
Grâce à leur haute efficacité et leur durée de vie plus longue, les LED sont de plus en plus utilisées pour l’éclairage, et particulièrement depuis ces dernières années, pour l’éclairage public. Toutefois, le spectre d’émission d’une LED diffère de celui d’une ampoule à incandescence ou à décharge, avec notamment des longueurs d’onde dont l’amplitude est plus élevée dans le domaine du bleu. Il a été démontré que ces longueurs d’onde bleues réduisent la sécrétion de mélatonine, une hormone qui, en plus de ses propriétés anti-oxydantes et anti- cancérigènes, permet de réguler l’horloge biologique du corps humain. La carence de mélatonine peut provoquer des états de fatigue et de stress, pouvant conduire dans certains cas à la dépression. Les longueurs d’onde rouges et proche-IR issues d’éclairages LED ou incandescent ont également des effets négatifs sur l’environnement, puisqu’elles perturbent les cycles de la végétation, comme la photosynthèse. Ces problèmes de santé publique sont connus des autorités, si bien qu’elles imposent des normes pour réduire l’émission de longueurs d’onde bleue, rouge et proche-IR issues de l’éclairage public (ex. norme BNQ 4930-100 au Québec). Dans ce projet, nous proposons des filtres interférentiels permettant d’éliminer les longueurs d’onde nuisibles issues d’un éclairage LED, sans impacter la qualité et l’efficacité de cet éclairage. En utilisant la technique de dépôt PECVD (Plasma Enhanced Chemical Vapor Deposition), nous avons développé des matériaux optiques innovants qui permettent de réaliser des filtres efficaces, simples et à faible coût.
APA, Harvard, Vancouver, ISO, and other styles
21

Blažková, Naďa. "Povrchová topografie a-CSi:H vrstev připravených v kontinuálním režimu PECVD." Master's thesis, Vysoké učení technické v Brně. Fakulta chemická, 2018. http://www.nusl.cz/ntk/nusl-376884.

Full text
Abstract:
The thesis describes surface topography of a-CSi:H films deposited by continuous wave plasma enhanced chemical vapor deposition (PECVD) based on tetravinylsilane monomer (TVS). Thin films are completely used in many fields of modern technologies and their physical and mechanical properties are affected by thin film preparation techniques. In this thesis the thin films were deposited by PECVD method on silicon wafers with the pure TVS monomer. Deposited samples were topographically described and analyzed using atomic force microscopy (AFM). The main characteristics which were described are RMS roughness, autocorrelation function and a size distribution of grains on the thin film surface. Analysis was realized with two sets of samples with different powers and thickness. The main results were statistically evaluated like a mixture of object on the surface prepared in different deposition conditions.
APA, Harvard, Vancouver, ISO, and other styles
22

Spooner, Marc. "The application and limitations of PECVD for silicon-based photonics /." View thesis entry in Australian Digital Program, 2005. http://thesis.anu.edu.au/public/adt-ANU20070315.043442/index.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Bouchkour, Zakaria. "Croissance et caractérisation de nanostructures de nitrure d’aluminium par PECVD." Limoges, 2013. https://aurore.unilim.fr/theses/nxfile/default/b7f7a18c-51f9-47ff-930e-e1cb6f2cefef/blobholder:0/2013LIMO4003.pdf.

Full text
Abstract:
Le nitrure d’aluminium (AlN) est un semi-conducteur suscitant un vif intérêt pour l’optoélectronique. Avec une bande interdite de 6,2 eV, il pourrait permettre d’atteindre les longueurs d’onde de l’UV profond. L’objectif de ce travail de thèse est d’obtenir, de comprendre et de maîtriser la croissance (densité, taille) de nano-ilots d’AlN élaborés par PECVD (dépôt chimique en phase vapeur assisté par plasma) sur des substrats monocristallins plans puis nanostructurés. Un travail de maîtrise du procédé et d’optimisation des conditions opératoires pour l’obtention de nano-ilots d’AlN a été nécessaire. La compréhension des phénomènes de surface, ainsi que de l’influence des paramètres de dépôt a constitué une grande partie du travail, les plus importants étant les suivants : la température de dépôt, le ratio V/III de débit des précurseurs et la polarisation RF du substrat. La morphologie des dépôts a été caractérisée par microscopie à force atomique, microscopie électronique en transmission. La microstructure des films a quant à elle été étudiée par diffraction des rayons X et des électrons (MET). L’étude des caractéristiques microstructurales et optiques des dépôts obtenus a abouti à la démonstration d’un effet de confinement quantique par des mesures ellipsométriques. Un mécanisme de croissance d’AlN issu de la corrélation des résultats des caractérisations mises en oeuvre est finalement proposé. Enfin, des essais de croissance sur des substrats gabarits ont été initiés
Aluminium nitride (AlN) is a semiconductor of great interest in optoelectronics. With a band gap of 6. 2 eV, it makes it possible to reach deep UV wavelengths. The aim of this PhD thesis is to obtain, understand and manage growth (density, size) of AlN nano-islands produced by PECVD (plasma enhanced chemical vapor deposition) on plane and nanostructured monocrystalline substrates. A huge work relative to process control and optimization of operating conditions in order to obtain AlN nano-islands was necessary. The comprehension of surface phenomena, as well as the influence of deposition parameters has been the major part of the work, the most important parameters being: the deposition temperature, the precursors flow ratio and the substrate RF-bias. The morphology of the films was characterized by atomic force microscopy and transmission electron microscopy. Their microstructure has been studied by X-ray diffraction and electron diffraction (TEM). The study of microstructural characteristics and optical properties of the obtained deposits led to the demonstration of a quantum confinement effect through ellipsometric measurements. A growth mechanism of AlN stemming from a correlation of characterizations data is eventually proposed. Finally, growth trials on templates have been initiated
APA, Harvard, Vancouver, ISO, and other styles
24

Benmessaoud, Alí. "Caracterización de subóxidos de silicio obtenidos por las técnicas de PECVD." Doctoral thesis, Universitat Autònoma de Barcelona, 2001. http://hdl.handle.net/10803/3336.

Full text
Abstract:
Se ha escogido la técnica PECVD para obtener depósitos de subóxido de silicio, SiOx, de espesores del orden de la micra, sobre substratos de Si de dos pulgadas. Hemos logrado depósitos con contenidos de oxígeno entre 1.3 y 2.0, y un intervalo de índices de refracción comprendidos entre 1.96 (próximo al Si3N4) y 1.46 (SiO2). La estructura (en particular, la porosidad), uniformidad y tensión de las capas son mejores cuanto menor es el contenido de oxígeno. Además, las capas crecidas contienen impurezas en forma de enlaces Si-H, N-H, Si-OH y H2O. El contenido de impurezas varia de forma gradual desde capas pobres en oxígeno con una gran cantidad de enlaces Si-H y N-H, y nulo contenido de Si-OH y H2O, y capas de estructura próxima al óxido estequiométrico, con nulo contenido de enlaces Si-H y N-H, y alto contenido de Si-OH y H2O.
Se ha investigado las condiciones de temperatura, potencia y presión de los procesos de depósito, susceptibles de mejora de la calidad de las capas crecidas. El aumento de la temperatura de depósito (hasta 350oC) y de la potencia (hasta 50 W, límite impuesto por la disminución de uniformidad), disminuye el contenido de impurezas de las capas; sin embargo, el aumento gradual de la tensión con el aumento del contenido de oxígeno de las capas, aconseja que los depósitos se crezcan a menores valores de temperatura y potencia en la obtención de capas ricas en oxígeno. Por lo que se refiere a la presión, valores bajos (100 mTorr), favorecen la disminución de impurezas; sin embargo, el aumento del orden estructural que comporta el aumento de la presión (hasta 300 mTorr), inhibe el acomodo de impurezas en capas ricas en oxígeno, por lo que la calidad de los depósitos se verá favorecida procediendo a un aumento de la presión a medida que se crezcan capas con un previsible mayor contenido de Si-OH y H2O.
La mejora de las condiciones de depósito no soluciona dos problemas fundamentales; por un lado, no se han podido suprimir las impurezas ni las tensiones de las capas y, por otro, las capas ricas en oxígeno son inestables frente a la humedad. El estudio de la acción de la humedad y los esfuerzos posteriores para estabilizar las capas, al proceder a un recocido térmico a una temperatura inferior a 350oC, dan resultados parciales, siendo los efectos reversibles. Como solución se ha propuesto proceder a un recocido térmico rápido a alta temperatura (950oC) de las capas. Las capas tratadas son estructuralmente compactas e insensibles a la acción exterior de la humedad, no contienen cantidades de impurezas apreciables, excepto un bajo contenido de enlaces Si-H en entornos O3-SiH; además, el nivel de tensiones desaparece en capas ricas en Si y aumenta gradualmente con el contenido de oxígeno, llegando a ser un 50% inferior al de las capas depositadas sin un tratamiento posterior. El índice de refracción se amplia al intervalo 2.32-1.46.
APA, Harvard, Vancouver, ISO, and other styles
25

Kurapov, Denis. "Structure evolution, properties, and application of alumina films deposited by PECVD /." Aachen : Shaker, 2005. http://bvbr.bib-bvb.de:8991/F?func=service&doc_library=BVB01&doc_number=014643764&line_number=0001&func_code=DB_RECORDS&service_type=MEDIA.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Saint-Cast, Pierre [Verfasser]. "Passivation of Si Surfaces by PECVD Aluminum Oxide / Pierre Saint-Cast." Konstanz : Bibliothek der Universität Konstanz, 2012. http://d-nb.info/1048524833/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Rind, M. Akhtar. "Photovoltaic applications of Si and Ge thin films deposited by PECVD." Thesis, University of Southampton, 2014. https://eprints.soton.ac.uk/370453/.

Full text
Abstract:
This thesis represents a systematic study of amorphous silicon microcrystalline silicon and germanium thin films, and a-Si:H thin film solar cells fabricated using an OPT plasma lab 100 RF PECVD system carried out with a view to studying novel lighttrapping structures for thin film solar cells and novel IR photovoltaic cells. The work includes the optimisation of amorphous based single layers by optical and electrical characterisation, their doping and the fabrication and optimisation of single junction solar cells. These developments were extended to include deposition of microcrystalline and germanium films with the aim of developing a range of multijunction and single junction research devices. The optical characterisation of intrinsic amorphous based layers shows that device-grade layer fabrications are achievable with more than 90% absorption in the 450 to 550 nm wavelength range which can be deposited at 4-5˚A/s with good thickness uniformity. The bandgap of intrinsic amorphous layers can be tuned from 1.4 to 1.7 eV. Secondary Ion Mass Spectroscopy (SIMS) depth profile characterisation has verified that doping levels in p-type and n type are in the range of 1021 atoms/cm3 which can maintain high open circuit voltage of 0.83V in the single junction device. Systematic single layers well as in-device optimisations lead to the best single junction devices fabricated at a temperature of 250oC and at a pressure of 350 mT and of initially 8.22% efficiency. Initial quantum efficiency (QE) measurements show 75% photon absorption at 550 nm wavelength. A novel technique of wavelength and angle resolved scattering (WARS) measurements have been used to analyse the effects of textured TCOs on light-trapping in single junction device. Showing Asahi-U to be the best substrate with regards to light-trapping, although thicker films benefited more from TEC8 . Deposition of microcrystalline silicon and germanium have also been reported. More focus has been given to optical characterisation of germanium films whose absorption has reached more than 70% in 400 to 1600 nm wavelength range with successful n-type and p-type doping. Ohmic contacts with a low resistivity of 0.029-cm for p-type Ge with the usage of Ni have been achieved. The overall working capacity of the OPT PECVD tool has been analysed and it was concluded that chamber design modification are essential for the system to work in a multidisciplinary field to avoid serious chamber contamination and 10% efficient a:Si:H benchmarks.
APA, Harvard, Vancouver, ISO, and other styles
28

Gavrilov, N. V., and A. S. Mamaev. "DLC deposition by PECVD at plasma cathode based low-pressure discharge." Thesis, Видавництво СумДУ, 2011. http://essuir.sumdu.edu.ua/handle/123456789/20765.

Full text
Abstract:
The characteristics of coatings prepared by acetylene decomposition in nonself-sustained discharge with a plasma cathode have been studied. The initial energy of electrons injected into the plasma was 0,1 – 0,7 keV, energy of ions bombarding the coating was 0,1 – 0,7 keV and the pressure of Ar + C2H2 gas mixture was 0,2 – 1 Pa. Microhardness and wear resistance of coatings were measured by methods of kinetic indentation and ball abrasion. The coatings with high microhardness (40 – 60 GPa) and high wear resistance were deposited on conditions that ion energy exceeded 300 eV. It was shown that coating’s microhardness and internal stresses in the coatings deposited on chamber walls could be reduced by concerted change of voltage accelerating injected electrons and bias voltage applied to samples placed into the plasma. This allows to avoid delamination of coating particles from the walls and to provide high quality coating on samples. When you are citing the document, use the following link http://essuir.sumdu.edu.ua/handle/123456789/20765
APA, Harvard, Vancouver, ISO, and other styles
29

Yu, Pei-chi, and 游佩琪. "Preparation of superhydrophobic films by PECVD." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/78903297735192312861.

Full text
Abstract:
碩士
國立中央大學
化學工程與材料工程研究所
96
Preparation of superhydrophobic films by plasma enhance chemical vapor deposition method using C6F6 as the monomer has been developed. The films were prepared by C6F6 plasma in continuous wave and pulsed wave. The effects of power and deposition position on the films were investigated by using SEM、AFM、FTIR and XPS. In continuous wave, the smooth hydrophobic films was obtained. And with increasing power, the degree of dissociation monomer was increased. With decreasing the distance from power electrode, the radicals were increased. The films prepared with low power and long distance from power electrode had good adhesion with substrate (4B) . In pulsed plasma, with decreasing duty- cycle (DC), the dissociation of monomer was decreased and the probability of polymerization was increased. The films prepared with lower power and lower duty-cycle at the plasma region had superhydrophobic behavior with contact angle over 150° and 239 nm of roughness surface. The double bond of C6F6 had higher polymerized to form high molecular particles in the gas phase and compared with the single bond of C6F14 plasma,. Finally, with increasing MMA, the adhesion of the films were slightly improved but the hydrophobic behavior of the films were decreased.
APA, Harvard, Vancouver, ISO, and other styles
30

Chen, Cheng Hsien, and 陳承先. "Low Temperature Epitaxial Growth by PECVD." Thesis, 1993. http://ndltd.ncl.edu.tw/handle/55004681419833903652.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Lin, Wen-Mei, and 林文美. "PECVD SiON for Integrated Optical Microsensor." Thesis, 1997. http://ndltd.ncl.edu.tw/handle/17850513106381610184.

Full text
Abstract:
碩士
國立清華大學
電機工程學系
85
The integrated optical sensor is fabricated on a Si substrate with a thermal oxide as the buffer layer , a PECVD SiON as the guiding layer , and a SiOx stripas the wave confining cap layer . Since SiON layer is the most important layer in the device , we have studied the deposition technology and characterized the physical and optical properties of the deposited film . SiON films suitable for integrated optical sensor were obtained .
APA, Harvard, Vancouver, ISO, and other styles
32

Lu, Chih-Cheng, and 盧峙丞. "Characterizations of PECVD Dielectric Films on In0.53Ga0.47As." Thesis, 1999. http://ndltd.ncl.edu.tw/handle/63875726278165592595.

Full text
Abstract:
碩士
國立清華大學
電機工程學系
87
Dielectric films of SiOx and SiNx by plasma enchanced chemical vapor deposition (PECVD) have been widely used in the fabrication of microelectronic devices. They have been used for insulation between conducting layers, for diffusion and ion implantation masks, for capping doped films to prevent the loss of dopants, and for passivation to protect devices from impurities, moisture, and scratches. Besides, dielectric films have been deposited on Ⅲ-Ⅴ compound semiconductor as a promising gate insulator for MISFETs. Characteristics of Ⅲ-Ⅴ compound semiconductor have high electron mobility and large drift saturation velocity, so development of suitable insulator for MISFETs has been a pursue goal. Material characterizations were investigated by depositing dielectric films on Si-substrate. Electrical characterizations in metal-insulator-semiconductor (MIS) structure were also investigated. In this study, we treated our samples with furnace annealing (FA) or rapid thermal annealing (RTA) process at different temperatures to find the optimum condition for our samples. It can be noted that FA or RTA process can improve the quality of SiNx/InGaAs, but can’t improve that of SiOx/InGaAs. This is suggested to be that the phenomenon of inter-diffusion after FA or RTA process easily occurs in SiOx/InGaAs samples compared to SiNx/InGaAs samples. We can verify the phenomenon by the measurement of SIMS.
APA, Harvard, Vancouver, ISO, and other styles
33

Chen, Chih-Rung, and 陳志榮. "Preparation of hydrophobic hard coating via PECVD." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/27357765406715941582.

Full text
Abstract:
碩士
國立中央大學
化學工程與材料工程研究所
96
Preparation of uniform silica films with high mechanical properties by plasma enhanced chemical vapor deposition (PECVD) at room temperature has been developed. At first, plasma parameters, different position, substrate temperature, and amount of oxygen were investigated using diethoxydimethylsilane (DEODMS) as monomer. In addition, these above films were treated by different plasma to study the influence of surface treatment on chemical structure and properties of films. On the other hand, oxygen plasma was also utilized to etch substrates to make rough surface. Finally, the films were deposited using four different monomers (tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), DEODMS, ethoxytrimethylsilane(EOTMS)) with the best plasma parameters found before. The results showed that the films with hardness 8H were obtained via increasing substrate temperature to 200°C at plasma region, and promote hardness to 9H at 300°C. With adding 20 sccm oxygen into the reactor, the dense and transparent film with 8H hardness was obtained even at room substrate temperature. These above films with further plasma treatment and dipping them into TMCS solution were able to change surface energy of films to have water contact angle from 79° to 7°~100°. On the other hand, water contact angle of films was promoted to 121° via etching plastic substrate by oxygen plasma. The films deposited using MTEOS and without adding oxygen had the largest hardness 4H among the four monomers. With increasing the amount of oxygen in all four monomers, the hardness of the films was increased, and the water contact angle of films was decreased.
APA, Harvard, Vancouver, ISO, and other styles
34

Liao, Wen-Ing, and 廖文英. "Methanol oxidation on PECVD modified Pt electrodes." Thesis, 1996. http://ndltd.ncl.edu.tw/handle/51570535091433480001.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Tai, Hsien-Cheng, and 戴賢政. "Fault Detection and Diagnosis of PECVD Equipment." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/37671612488716902714.

Full text
Abstract:
碩士
中原大學
機械工程研究所
95
The plasma-enhanced chemical vapor deposition (PECVD) process is always an important technology for the thin-film deposition in the semiconductor and TFT-LCD fabrications. Plasma, in PECVD, is a key parameter for the success of deposition. In case the plasma is subject to any abnormal influences such as deviant pressure, faulty RF generator, unstable gas supply, or abnormal deposition environment, it may lead to equipment breakdown and cost loss. Certainly, the overall equipment effectiveness (OEE) reduces. Therefore, this thesis presents a systematic approach of fault diagnosis for PECVD process. Wavelet transform can give a time-frequency localization of the signal for further analysis. In this study, the signals collected from PECVD equipment were decomposed using Daubechies wavelet basis functions. The corresponding wavelet and scaling function coefficients were then obtained. In addition, the feature residuals were calculated by the principle of distortion rate which is commonly used in the information theory. Finally, incorporating with the artificial neural network, different faults can be correctly clustered. In the mean time, beside to use the formula of Wavelet Transform to execute the waveform analysis, I do also aim to the reflection power signal to measure the differential of variance tolerance by difference method in front and post of sampling time point for the data used to exam the reflection power signal in continuity timing deviation. The squawks or malfunction analysis is using summarize differences total change rate through the CL- ANN (Competitive Learning Artificial Neutral Network) works. From the conclusion to analysis the squawk or malfunction to solenoid valve and radio frequency power supply, it can get more accuracy in judgment or diagnosis.
APA, Harvard, Vancouver, ISO, and other styles
36

Bienger, Pierre Spieß Lothar. "Reproduzierbare Bereitstellung verschiedener Precursoren für den PECVD-Prozess /." 2008. http://www.gbv.de/dms/ilmenau/abs/573883041bieng.txt.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Li, Jian-zheng, and 李建政. "Study on DLC Coating Polycarbonate Substrate by PECVD." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/76159829592578999391.

Full text
Abstract:
碩士
國立中山大學
電機工程學系研究所
92
The purpose of this research was to deposit the protective diamond-like carbon (DLC) films on polycarbonate substrates for optical applications. In this study, by using the PECVD method is the better way to deposit DLC film on polycarbonate substrates since the whole process were performed at low temperature to avoiding any degradation of the adhesion of DLC film on polycarbonate substrates occurred at high temperature. In order to further increase the adhesion between the polycarbonate substrates and the DLC film, we deposited the SiO2 layer as an interlayer, and select HMDSO as precursor because it contain Si and O atoms. It is because that SiOx films that can be deposited from HMDSO/oxyzen feeds are relatively hard and against mechanical damages due to forming the glass-link structure. In addition, SiOx films are fully transparent in the UV range and decrease the difference of TCE ( thermal expansion coefficient) of PC and coating films. In experiments, it is preceded being focus on the analysis of Raman spectrum for the films grown at various CH4 gas fluxes, substrates bias voltages, Si-doping concentrations and the distance of electrode separation. The dependence of the intensity ratio of D-band and G-band ( ID/IG ) on the surface roughness, hardness and mechanical properties were investigated, and the adhesion of coating layer depends on the thickness of intermediate layer were studied under various substrate bias voltage. At least, the properties of diamond-like carbon (DLC) film coatings on phase-change recording media were characterized by Raman spectroscopy, atomic force microscope (AFM), UV-visible spectrometer and disc testers. The dependence of mechanical, optical and structural properties of DLC films included the characteristics of surface roughness, hardness, transmittance and electrical signals of discs on serveral DLC film thicknesses were investigated. Our experimental results indicate that DLC films provide a suitable coating to protect PC substrate and make it no difference for data stored on phase-change optical discs.
APA, Harvard, Vancouver, ISO, and other styles
38

"Caracterização de filmes finos de carbono depositados por PECVD." Tese, Biblioteca Digital da Unicamp, 1995. http://libdigi.unicamp.br/document/?code=vtls000094852.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Cheng, Pen-Cheng, and 鄭本誠. "Installation and Testing of a Home-made PECVD System." Thesis, 1995. http://ndltd.ncl.edu.tw/handle/93370658928504777197.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Kuo, Yu-An, and 郭育安. "Fabrication of ZnO (002) thin films grown by PECVD." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/f99fs4.

Full text
Abstract:
碩士
國立臺北科技大學
機電整合研究所
98
The wurtzite ZnO thin films with c-axis (0002) preferred orientation have been successfully grown on the Si(100) and glass substrates by plasma enhanced chemical vapor deposition (PECVD) using diethylzinc (DEZn) liquid and carbon dioxide (CO2) gas as the Zn and O sources, respectively. This thesis is focused on the synthesized conditions for forming (0002) textured ZnO films by varying the fabrication parameters during film growth process. The changed parameters in the synthesized processes of ZnO phase including the CO2 gas flows (6~20 sccm), substrate temperature (250~450 oC), radio frequency (RF) power (30~70 W), and working pressure (500~1000 mTorr). The optimized conditions for fabricating c-axis ZnO (0002) epitaxial films with the narrow full width at half maximum (FWHM) value of about 0.151o onto silicon and glass substrates are at working pressure of 500 mTorr, substrate temperature of 400 oC, RF power of 60 W, and CO2 flow of 20 sccm, respectively. The FWHM values decrease with increasing the working power and substrate temperature, indicating the extra energy is important for forming c-axis alignment of ZnO films. On the other hand, the surface roughness increases with increasing the values of CO2 flow, RF power, and substrate temperature, respectively. From the photoluminescence (PL) and Raman spectra, the typical intrinsic UV-band emitted peak is appeared and located at about 380 nm and 437 cm-1, indicating the ZnO phase formed. The transmission spectrum shows the highly transmissive ability (over 85%) in the visible region (400~800 nm) that shown the possibility for the future applications of display and optoelectronic devices.
APA, Harvard, Vancouver, ISO, and other styles
41

Ying, Chih-Hung, and 應志弘. "Investigation of fluorinated amorphous carbon films deposited by PECVD." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/99264378662262762676.

Full text
Abstract:
碩士
國立成功大學
材料科學及工程學系
89
Interconnect delay is a factor of performance limiting for ULSI circuits when feature size is scaled to deep submicron region. Using low dielectric constant materials for the interlayer insulator is an effective way to solve the RC time delay. Fluorinated amorphous carbon films (a-C:F) is one of intensively studied low-k materials concerning its basic properties, thermal stability and integration-related issue. In this paper, we have investigated effects of process parameter to the properties of a-C:F. The results shows that the dielectric constant of a-C:F is from 2.2 to 2.9, and the leakage current density is from 5.37E-9 to 6.88E-8 A/cm (at 1MV/cm). We founded that the intrinsic properties of a-C:F are effected by the F/C ratio of composition. The thermal stability is effected by the cross-linked of film. After plasma treatment, the thermal stability of a-C:F is improved. The experiment results show that the nitride layer will be formed on the surface of a-C:F film after N2 plasma treatment. Due to the formation of nitride-like layer, the uptake of moisture will increase and lead to increasing of dielectric constant and leakage current density. But the nitride layer can against the diffusion of copper lead to the thermal stability is enhanced. Since the hydrogen atoms will bond with dangling bond of films, therefor the a-C:F films will be stabilized after H2 plasma treatment. The electrical properties and thermal stabilized will be improved respectively after H2 plasma treatment. 英文摘要……………………………………………………………...…II 誌謝…………………………………………………………………......III 總目錄………………………………………………………………......IV 圖目錄……………………………………………………………….....VII 表目錄……………………………………………………………...…XIV 第一章 緒論…………………………………………………...1 1-1 簡介……………………………………….…………………….1 1-2 低介電常數材料簡介…………………………….…………….4 1-3 論文組織與架構………………………………………….…….6 第二章 氟化非晶相碳膜的基本性質研究…………………...7 2-1 前言………………………………………………………….….7 2-2 實驗流程………………………………………………..……….7 2-2-1 晶片刻號……………………………………………..……..9 2-2-2 晶片清洗……………………………………..……………..9 2-2-3 氟化非晶相碳膜沉積………………………………..……..9 2-2-4 鋁金屬電極製備………………………………………..…11 2-2-5 電性量測………………………………………….……….11 2-2-6 物性量測……………………………………………….….12 2-3 結果與討論……………………………………………….……12 2-3-1 成膜理論…………………………………………….…….14 2-3-2 反應氣體流量比效應……………………………….…….17 2-3-3 RF功率效應……………………………………………23 2-3-4 製程壓力效應…………………………………….……….29 2-3-5 基板溫度效應………………………………….………….34 2-4 結論………………………………………………………….…40 第三章 氟化非晶相碳膜的熱穩定性研究…………………..42 3-1 前言…………………………………………………….………42 3-2 實驗流程……………………………………………………...…42 3-2-1 實驗流程…………………………………………………...42 3-2-2 熱脫附大氣壓力質譜儀…………………………………...43 3-3 結果與討論………………………………………………...……45 3-3-1 氟化非晶相碳膜各組成鍵結能………………………..….45 3-3-2 反應氣體流量比效應……………………………………...46 3-3-3 RF功率效應………………………………………………54 3-3-4 製程壓力效應……………………………..……………….62 3-3-5 基板溫度效應………………………………………..…….69 3-3 結論………………………………………………………….....77 第四章 電漿處理對氟化非晶相碳膜/銅導線結構電特性 之影響…………………………………………...…..81 4-1 前言………………………………………………………..…....81 4-2 實驗流程…………………………………………...……………82 4-3 結果與討論…………………………………………………...…84 4-3-1 氮氣電漿處理效應…………………………………...……...84 4-3-2 氫氣電漿處理效應…………………...……………………...98 4-4 結論…………………...…………….……………...…………..106 第五章 結論…………………………………………………110 5-1 結論………………………………………………...……….….110 5-2 未來工作展望……………………………………...…….…….116 參考文獻……………………….……………………………117
APA, Harvard, Vancouver, ISO, and other styles
42

CHEN, HONG-TING, and 沈宏庭. "Encapsulation study of a-Si/GaAs grown by PECVD." Thesis, 1987. http://ndltd.ncl.edu.tw/handle/01450238965688342715.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

CHEN, IAN-WEI, and 陳彥瑋. "Investigation on Silicon Heterojunction Layers Prepared by RF-PECVD." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/45480178292569085599.

Full text
Abstract:
碩士
國立臺灣科技大學
化學工程系
98
In this thies, the intrinsic amorphous silicon (a-Si:H) were deposited on n-type monocrystalline silicon by RF PECVD, including p type amorphous silicon and n type amorphous silicon, we discuss optimization procedure of silicon heterojunction(SHJ). The TMB and TBP were used as the doping gases. We present implied open circuit voltage and effective lifetime in silicon heterojunction as the indicators. The thin intrinsic a-Si:H were prepared by SiH4 and H2 under different dilution ratio. It is found that a better interface passivation of SHJ was obtained by 15 nm thickness intrinsic a-Si:H. In this experiment, the implied open circuit voltage of Silicon heterojunction is 655 mV, effective lifetime is 350 μs.
APA, Harvard, Vancouver, ISO, and other styles
44

Chen, Zong-lin, and 陳宗麟. "Microcrystalline Silicon Films Prepared by VHF SiH4-PECVD System." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/13271612605960491113.

Full text
Abstract:
碩士
國立臺灣科技大學
化學工程系
96
In this thesis, the hydrogenated microcrystalline silicon (μc-Si:H) intrinsic layer was deposited by very-high-frequency (VHF) plasma-enhanced chemical vapor deposition (PECVD). The influence of deposition conditions on VHF plasma were characterized by in-situ optical emission spectroscopy (OES). The effect of varied power density, reaction pressure, distance between parallel electrodes and diluted ratio of hydrogen gases were also investigated. A close relationship between OES intensity and thin film structure was found. Therefore, the optimal deposition conditions can be established by OES.
APA, Harvard, Vancouver, ISO, and other styles
45

Lin, Qi-Fa, and 林啟發. "Chemical Mechanical Polishing of PECVD Dielectrics:Characterization and Processs Integration." Thesis, 1996. http://ndltd.ncl.edu.tw/handle/08396109180397390113.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Lin, Puru, and 林溥如. "Design and Fabrication of PECVD SiOxNy Array Waveguide Grating." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/84701740135536890177.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Jwo, Jyh-Neng, and 卓至能. "Properties SiNxOy on III-V Compounds by PECVD Techniques." Thesis, 1993. http://ndltd.ncl.edu.tw/handle/69327534562508361253.

Full text
Abstract:
碩士
國立交通大學
電子研究所
81
Silicon nitride obtained by plasma enhanced chemical vapor deposition (PECVD) is now widely used in III-V semiconductor device technology. However the plasma silicon nitride layer normally has a quite high mechanical stress and poor relative heat treatment. So, silicon oxynitride film prepared by PECVD have recently gained interest as well as interlayer dielectric because of their lower hydrogen content and lower mechanical stress as compared to silicon nitride. This material has been found to be a promising gate insulator for MIS-FET transistors on compound semiconductors and electrical properties for PECVD amorphous silicon oxynitride films prepared from N2O-N2-SiH4/He mixtures have been investigated. The effects of deposition parameters, i.e. SiH4 is fixed on 100 sccm, N2O flow rate (N2O 0~400 sccm and N2O+N2 are 400 sccm ), chamber pressure (266~1000 mtorr), R.F. power (50~130 W) and substrate temperature (150 ~350 C) which were systematically varied on various film properties deposited on III-V semiconductor substrate (GaInP/GaAs/GaAs-substrate) are discussed. The characteristics of the films such as composition, refractive index, deposition rate, and hydrogenous bond content qualitative analysis were investigated by AES, ellipsometry, and FTIR spectrometry. Under these conditions films have been made with a refractive index of 1.469~1.907 and a deposition rate of 264.67~339 A/min. Electrical resistivity greater than 10E13 ohm-cm at 0.2 MV/cm, leakage current lower than 50 pA at 0.2 MV/cm, breakdown strength of 3~9 MV/cm, and a dielectric constant of 4~6 were observed. These films can afford to passivate the devices.
APA, Harvard, Vancouver, ISO, and other styles
48

Ji, He-cheng, and 紀和成. "Simulate of PECVD Chamber Clean Using Remote Plasma System." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/79153624133876664394.

Full text
Abstract:
碩士
國立高雄大學
電機工程學系碩士班
103
Thin film deposition is one of the most important processes in fabricating semiconductor devices. An automatic deposition system usually equiped with self-cleaning function; the system can clean a vacuum chamber after chemical reaction inside the chamber. Remote plasma system usually generates plasma by decomposing argon via buildt-in microwave generation system and the plasma thus ironize NF3 into nitrogen and fluoride ion that can react with silicon dioxide residue around the chamber to achieve self-clean. Some semiconductor manufacturing companies, such as Applied Materials, use Remote plasma system to enhance the self-cleaning and wafer throughput. Therefore, maintenance period extension and self-cleaning enhancement become important issues for an equipment engineer. This thesis studied the cleaning efficiency via plasma flow and lifetime of the heater via out wall pressure of a reaction chamber using finite element modeling. The results indicate that the pressure of the heater and the plasma flow increases with decreasing spacing (from 6.5 to 3 cm), wherein the spacing is the distance between heater and showerhead. However, the plasma flow is limited from going up when the spacing was beyond 3 cm. This result implies the heater may be over cleaned, or improperly cleaned. On the contrast, the lifetime can be shortened when the spacing is too small.
APA, Harvard, Vancouver, ISO, and other styles
49

Li, Handong. "Nano-structured PECVD silicon films and their device applications." 2004. http://etda.libraries.psu.edu/theses/approved/WorldWideIndex/ETD-498/index.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

XU, SHI-CHANG, and 徐世昌. "PECVD silicon dioxide and hydrogenated amorphous thin film transistor." Thesis, 1992. http://ndltd.ncl.edu.tw/handle/12340392969036141889.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography