Academic literature on the topic 'PECVD'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'PECVD.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "PECVD"

1

Song, Yumin, Jun-Kyo Jeong, Seung-Dong Yang, Deok-Min Park, Yun-mi Kang, and Ga-Won Lee. "Process effect analysis on nitride trap distribution in silicon-oxide-nitride-oxide-silicon flash memory based on charge retention model." Materials Express 11, no. 9 (September 1, 2021): 1615–18. http://dx.doi.org/10.1166/mex.2021.2067.

Full text
Abstract:
This paper analyzes data retention characteristics to determine process effects on the trap energy distribution of silicon nitride in silicon-oxide-nitride-oxide-silicon (SONOS) flash memory devices. Nitride films were prepared by low-pressure chemical vapor deposition (LPCVD) and plasma-enhanced chemical vapor deposition (PECVD). PEVCD films embedded with silicon nanocrystals (Si-NCs) were also compared. The flat band voltage shift in the programmed device was measured at high temperatures to observe the thermal excitation of electrons from the nitride traps in retention mode. The trap energy distribution was extracted using the charge decay rates, and the experimental results showed that nitride fabricated by PECVD has a shallower trap than nitride fabricated by LPCVD. In nitride with Si-NCs, increased trap sites were observed in the range of 1.14 eV to 1.24 eV.
APA, Harvard, Vancouver, ISO, and other styles
2

Ding, Er Xiong, Hong Zhang Geng, Li He Mao, Wen Yi Wang, Yan Wang, Zhi Jia Luo, Jing Wang, and Hai Jie Yang. "Recent Research Progress of Carbon Nanotube Arrays Prepared by Plasma Enhanced Chemical Vapor Deposition Method." Materials Science Forum 852 (April 2016): 308–14. http://dx.doi.org/10.4028/www.scientific.net/msf.852.308.

Full text
Abstract:
Preparing carbon nanotube (CNT) arrays by plasma enhanced chemical vapor deposition (PECVD) method can dramatically reduce the deposition temperature, which makes it possible for in-situ fabrication of CNT-based nanoelectronic devices. In this paper, up to date research progress of CNT arrays prepared by PECVD method was presented, including radio frequency PECVD, direct current PECVD and microwave PECVD. Then, morphology and quality of CNT arrays were compared. In the end, we analyzed the possible challenges encountered through CNT array preparation by PECVD method at the moment and in the future.
APA, Harvard, Vancouver, ISO, and other styles
3

Noriah, Yusoff, Nor Hayati Saad, Mohsen Nabipoor, Suraya Sulaiman, and Daniel Bien Chia Sheng. "Plasma Enhanced Chemical Vapor Deposition Time Effect on Multi-Wall Carbon Nanotube Growth Using C2H2 and H2 as Precursors." Advanced Materials Research 938 (June 2014): 58–62. http://dx.doi.org/10.4028/www.scientific.net/amr.938.58.

Full text
Abstract:
Multi-wall carbon nanotube (MWCNT) structures were grown on cobalt catalyst layer through Plasma Enhanced Chemical Vapor Deposition (PECVD) process. Acetylene (C2H2) and hydrogen (H2) are used as precursors during the PECVD process. The morphology structures of the MWCNTs grown under different PECVD time were investigated and characterized using Scanning Electron Microscope (SEM). The effect of the PECVD time on the MWCNT growth is studied by varying the PECVD time at 45 sec and 600 sec. The morphology structures suggest that the growth rate is proportional to the PECVD time under the similar setting condition of pressure, acetylene flow-rate and temperature.
APA, Harvard, Vancouver, ISO, and other styles
4

KIM, JIN-EUI, SANG-HYUK RYU, and SIE-YOUNG CHOI. "THE EFFECT OF a-SiN:H AND a-Si:H SURFACE ROUGHNESS OF TFT BY PE/RACVD." International Journal of Modern Physics B 24, no. 15n16 (June 30, 2010): 3107–11. http://dx.doi.org/10.1142/s0217979210066161.

Full text
Abstract:
This paper describes the influence of surface roughness on the mobility of a - SiN : H and a - Si : H . For the a - SiN : H deposited by PECVD, the roughness was 0.832 nm. The a - SiN : H layer composed of (PECVD 150 nm + RACVD 100 nm) had better characteristic of roughness than the a - SiN : H layer (PECVD 250 nm) by 47%. The roughness of the a - Si : H (PECVD 200 nm) deposited on the a - SiN : H layer was 0.803 nm. And the roughness of a - Si : H (RACVD 100 nm + PECVD 100 nm) deposited the a - SiN : H layer is better than the a - Si : H (PECVD 200 nm) by 27%. After depositing the layer of a - SiN : H and a - Si : H at the best condition obtained by the experiments the mobility was measured. The a - Si : H is deposited by the PECVD, the obtained mobility was 0.218 cm 2/V ·sec. The a - Si : H was deposited by the PE/RACVD, the obtained mobility was 0.248 cm 2/V ·sec. The mobility is enhanced by 10% by depositing the a - Si : H layer using the PE/RACVD method.
APA, Harvard, Vancouver, ISO, and other styles
5

Chen, Tsung-Cheng, Ting-Wei Kuo, Yu-Ling Lin, Chen-Hao Ku, Zu-Po Yang, and Ing-Song Yu. "Enhancement for Potential-Induced Degradation Resistance of Crystalline Silicon Solar Cells via Anti-Reflection Coating by Industrial PECVD Methods." Coatings 8, no. 12 (November 22, 2018): 418. http://dx.doi.org/10.3390/coatings8120418.

Full text
Abstract:
The issue of potential-induced degradation (PID) has gained more concerns due to causing the catastrophic failures in photovoltaic (PV) modules. One of the approaches to diminish PID is to modify the anti-reflection coating (ARC) layer upon the front surface of crystalline silicon solar cells. Here, we focus on the modification of ARC films to realize PID-free step-by-step through three delicate experiments. Firstly, the ARC films deposited by direct plasma enhanced chemical vapor deposition (PECVD) and by indirect PECVD were investigated. The results showed that the efficiency degradation of solar cells by indirect PECVD method is up to −33.82%, which is out of the IEC 62804 standard and is significantly more severe than by the direct PECVD method (−0.82%). Next, the performance of PID-resist for the solar cell via indirect PECVD was improved significantly (PID reduced from −31.82% to −2.79%) by a pre-oxidation step, which not only meets the standard but also has higher throughput than direct PECVD. Lastly, we applied a novel PECVD technology, called the pulsed-plasma (PP) PECVD method, to deal with the PID issue. The results of the HF-etching rate test and FTIR measurement indicated the films deposited by PP PECVD have higher potential against PID in consideration of less oxygen content in this film. That demonstrated the film properties were changed by applied a new control of freedom, i.e., PP method. In addition, the 96 h PID result of the integrated PP method was only −2.07%, which was comparable to that of the integrated traditional CP method. In summary, we proposed three effective or potential approaches to eliminate the PID issue, and all approaches satisfied the IEC 62804 standard of less than 5% power loss in PV modules.
APA, Harvard, Vancouver, ISO, and other styles
6

Al Alam, Elias, Ignasi Cortés, T. Begou, Antoine Goullet, Frederique Morancho, Alain Cazarré, P. Regreny, et al. "Comparison of Electrical Behavior of GaN-Based MOS Structures Obtained by Different PECVD Process." Materials Science Forum 711 (January 2012): 228–32. http://dx.doi.org/10.4028/www.scientific.net/msf.711.228.

Full text
Abstract:
MOS SiO2/GaN structures were fabricated with different surface preparation and different PECVD processes for the dielectric thin film deposition (ECR-PECVD and ICP-PECVD in continuous and pulsed modes). On the basis of C-V curves, the surface preparation steps, involving chemical etching with BOE, UV-Ozone oxidation and oxygen plasma oxidation, were compared in terms of resulting effective charge and interface trap density. A good SiO2/GaN interface quality was achieved for N-type MOS capacitances obtained both with continuousICPPECVD and ECR-PECVD deposition of the SiO2 dielectric. However, the interface quality is greatly reduced for MOS capacitors fabricated on P-type GaN.
APA, Harvard, Vancouver, ISO, and other styles
7

Echeverría, Elena, George Peterson, Bin Dong, Simeon Gilbert, Adeola Oyelade, Michael Nastasi, Jeffry A. Kelber, and Peter A. Dowben. "Band Bending at the Gold (Au)/Boron Carbide-Based Semiconductor Interface." Zeitschrift für Physikalische Chemie 232, no. 5-6 (May 24, 2018): 893–905. http://dx.doi.org/10.1515/zpch-2017-1038.

Full text
Abstract:
Abstract We have used X-ray photoemission spectroscopy to study the interaction of gold (Au) with novel boron carbide-based semiconductors grown by plasma-enhanced chemical vapor deposition (PECVD). Both n- and p-type films have been investigated and the PECVD boron carbides are compared to those containing aromatic compounds. In the case of the p-type semiconducting PECVD hydrogenated boron carbide samples, the binding energy of the B(1s) core level shows a shift to higher binding energies as the Au is deposited, an indication of band bending and possibly Schottky barrier formation. In the case of the n-type boron carbide semiconductors the interaction at the interface is more typical of an ohmic contact. Addition of the aromatic compounds increases the change in binding energies on both n-type and p-type PECVD boron carbide semiconductors, and the gold appears to diffuse into the PECVD boron carbides alloyed with aromatic moieties.
APA, Harvard, Vancouver, ISO, and other styles
8

Parkhomenko, I. N., I. A. Romanov, M. A. Makhavikou, L. A. Vlasukova, G. D. Ivlev, F. F. Komarov, N. S. Kovalchuk, et al. "Effect of thermal and pulse laser annealing on photoluminescence of CVD silicon nitride films." Proceedings of the National Academy of Sciences of Belarus. Physics and Mathematics Series 55, no. 2 (June 28, 2019): 225–31. http://dx.doi.org/10.29235/1561-2430-2019-55-2-225-231.

Full text
Abstract:
The light-emitting properties of Si-rich silicon nitride films deposited on the Si (100) substrate by plasma-enhanced (PECVD) and low-pressure chemical vapor deposition (LPCVD) have been investigated. In spite of the similar stoichiometry (SiN1.1), nitride films fabricated by different techniques emit in different spectral ranges. Photoluminescence (PL) maxima lay in red (640 nm) and blue (470 nm) spectral range for the PECVD and LPCVD SiN1.1 films, respectively. It has been shown that equilibrium furnace annealing and laser annealing by ruby laser (694 nm, 70 ns) affect PL spectra of PECVD and LPCVD SiN1.1 in a different way. Furnace annealing at 600 °C results in a significant increase of the PL intensity of the PECVD film, while annealing of LPCVD films result only in PL quenching. It has been concluded that laser annealing is not appropriate for the PECVD film. The dominated red band in the PL spectrum of the PECVD film monotonically decreases with increasing an energy density of laser pulses from 0.45 to 1.4 J/cm2. Besides, the ablation of PECVD nitride films is observed after irradiation by laser pulses with an energy density of > 1 J/cm2. This effect is accompanied by an increase in blue emission attributed to the formation of a polysilicon layer under the nitride film. In contrast, the LPCVD film demonstrates the high stability to pulsed laser exposure. Besides, an increase in the PL intensity for LPCVD films is observed after irradiation by a double laser pulse (1.4 + 2 J/cm2) which has not been achieved by furnace annealing.
APA, Harvard, Vancouver, ISO, and other styles
9

Yuan, Jin She, Ming Yue Wang, and Guo Hao Yu. "Low-Temperature Plasma Deposition of Diamond-Like Carbon and III Nitride Thin-Films for Photovoltaic Devices." Materials Science Forum 610-613 (January 2009): 353–56. http://dx.doi.org/10.4028/www.scientific.net/msf.610-613.353.

Full text
Abstract:
Low-temperature plasma deposition of diamond-like carbon (DLC) and gallium nitride thin-films grown on Si substrate by PECVD was investigated using atomic force microscopy and reflectance spectra for photovoltaic devices application. It was found that the morphological features of the GaN film depend on the substrates under the optimum deposition conditions. The optical band gap of the films was approximately 5.5eV for PECVD DLC and approximately 3.3 eV for PECVD GaN.
APA, Harvard, Vancouver, ISO, and other styles
10

Nakamura, Masatoshi, Toru Aoki, Yoshinori Hatanaka, Dariusz Korzec, and Jurgen Engemann. "Comparison of hydrophilic properties of amorphous TiOx films obtained by radio frequency sputtering and plasma-enhanced chemical vapor deposition." Journal of Materials Research 16, no. 2 (February 2001): 621–26. http://dx.doi.org/10.1557/jmr.2001.0089.

Full text
Abstract:
The hydrophilic properties of amorphous TiOx films prepared by different methods, e.g., radio frequency (rf) sputtering and plasma-enhanced chemical vapor deposition (PECVD), were studied. It was found that the hydrophilicity strongly depends on the film structure. The best hydrophilicity was realized with the PECVD amorphous film having distorted Ti–O bonds due to a large amount of OH groups. These characteristics of the PECVD amorphous film suggest that such a low-density film including distorted Ti–O bonds could increase the photoenhancement efficiency by ultraviolet radiation. This reason is also supported from the results that a low-density rf sputtered film presented a higher hydrophilicity compared to a high-density radio frequency sputtered film. Furthermore, both electrical and chemical effects of OH groups will also contribute to the good hydrophilicity of the PECVD film.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "PECVD"

1

Bohlen, Brandon Scott. "PECVD grown DBR for microcavity OLED sensor." [Ames, Iowa : Iowa State University], 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Sanchez, Mathon Gustavo. "Piezoelectric aluminum nitride thin films by PECVD." Limoges, 2009. https://aurore.unilim.fr/theses/nxfile/default/9224e391-3c48-4c10-9166-c2a2bed3c5f4/blobholder:0/2009LIMO4007.pdf.

Full text
Abstract:
Des couches minces polycristallines d'AIN ont été réalisées en utilisant une technique CVD assistée par plasma micro-onde. Les paramètres, distance plasma - injecteur, température du substrat, polarisation RF du porte - substrat ont été optimisés. Il a été possible de contrôler l’orientation préférentielle <0001> ou <1010>, intéressantes pour des applications piézoélectriques. Les mécanismes de croissance qui ont conduit au développement des microstructures dans les différentes conditions ont été expliqués. La comparaison avec une technique PVD a permis d’enricher la discussion. Les performances piézoélectriques des couches obtenues ont été caractérisées par construction des dispositifs électroacoustiques d’onde de surface et d’onde de volume. Seules les couches orientées <0001> ont montré une réponse piézoélectrique et une vitesse acoustique adéquates. Une analyse exhaustive a été conduite pour expliquer les possibles raisons de ces comportements
Polycrystalline aluminum nitride thin films were produced with a microwave-plasma enhanced chemical vapor deposition technique. The plasma-injector distance, the substrate temperature and the RF bias were the main variables which allowed achieving this objective. At the time, it was possible to control the preferential orientation as <0001> or <1010>, both interesting for piezoelectric applications. The growth mechanisms that conducted to film microstructure development under different process conditions were explained, enriched by the comparison with a physical vapor deposition sputtering technique. The obtained films were characterized in their piezoelectric performance, including the construction of surface acoustic wave devices and bulk acoustic wave devices. Adequate piezoelectric response and acoustic velocities were obtained for <0001> oriented films, while <1010> oriented films did not show piezoelectric response under the configurations essayed. An extensive analysis was done in order to explain these behaviors
APA, Harvard, Vancouver, ISO, and other styles
3

Mäder, Gerrit. "Atmosphärendruck-Plasma-Beschichtungsreaktoren." Stuttgart Fraunhofer-IRB-Verl, 2008. http://d-nb.info/991762533/04.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Ceiler, Martin Francis Jr. "The composition and properties of PECVD silicon dioxide." Thesis, Georgia Institute of Technology, 1993. http://hdl.handle.net/1853/11864.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Dominguez, Bucio Thalia. "NH3-free PECVD silicon nitride for photonic applications." Thesis, University of Southampton, 2018. https://eprints.soton.ac.uk/422874/.

Full text
Abstract:
Silicon Photonics has open the possibility of developing multilayer platforms based on complementary metal-oxide semiconductors compatible materials that have the potential to provide the density of integration required to fabricate complex photonic circuits. Amongst these materials, silicon nitride (SiN) has drawn attention due to its fabrication flexibility and advantageous intrinsic properties that can be tailored to fulfil the requirements of different linear and non-linear photonic applications covering the ultra-violet to mid-infrared wavelengths. Yet, the fabrication techniques typically used to grow SiN layers rely on processing temperatures > 400 C to obtain low propagation losses, which deem them inappropriate for multilayer integration. This thesis presents a systematic investigation that provided a comprehensive knowledge of a deposition method based on an NH3-free plasma enhanced chemical vapour deposition recipe that allows the fabrication of low-loss silicon nitride layers at temperatures < 400 C. The results of this study showed that the properties of the studied SiN layers depend mostly on their N/Si ratio, which is in fact one of the only properties that can be directly tuned with the deposition parameters. These observations provided a framework to optimise the propagation losses and optical properties of the layers in order to develop three platforms intended for specific photonic applications. The first one comprises 300nm stoichiometric SiN layers with refractive index (n) of 2 that enable the fabrication of photonic devices with propagation losses < 1 dB=cm at l = 1310nm and < 1:5 dB=cm at l = 1550 nm, which are good for applications that require efficient routing of optical signals. The second one consists on 600nm N-rich layers (n = 1.92) that allow fabricating both devices with propagation losses < 1 dB=cm at l = 1310 nm, apt for polarisation independent operation and coarse wavelength division multiplexing devices with cross-talk < 20 dB and low insertion losses. Finally, the last platform consisted of suspended Si-rich layers (n = 2.54) that permits the demonstration of photonic crystal cavities with Q factors as high as 122 000 and photonic crystal waveguides capable of operating in the slow-light regime. Hopefully, the demonstration of these platforms will stimulate the development of more complex SiN devices for multilayer routing, wavelength division multiplexing applications and non-linear integrated photonics in the future.
APA, Harvard, Vancouver, ISO, and other styles
6

Rangel, Elidiane Cipriano. "Implantação iônica em filmes finos depositados por PECVD." [s.n.], 1999. http://repositorio.unicamp.br/jspui/handle/REPOSIP/278415.

Full text
Abstract:
Orientador: Mario Antonio Bica de Moraes
Tese (doutorado) - Universidade Estadual de Campinas, Instituto de Fisica Gleb Wataghin
Made available in DSpace on 2018-07-26T03:43:23Z (GMT). No. of bitstreams: 1 Rangel_ElidianeCipriano_D.pdf: 787803 bytes, checksum: 0b3afb1a1012d775c5984bbf14f79319 (MD5) Previous issue date: 1999
Resumo: Neste trabalho, investigou-se a influência da implantação iônica sobre as propriedades de filmes finos de polímero depositados a partir de plasmas de radiofrequência (40 MHz, 70 W) de dois compostos orgânicos (acetileno e benzeno) e de suas misturas com gases nobres. As irradiações foram realizadas em um implantador iônico, com íons He+ , N+ e Ar+ , à fluências entre 1018 e 1021 íons/m2 e energias de 50 a 150 keV. As propriedades estruturais e ópticas dos filmes foram analisadas por espectroscopias no infravermelho e no ultravioleta-visível, respectivamente. Através de Ressonância Paramagnética de Elétrons, foi verificado que o bombardeamento iônico produz radicais livres na estrutura polimérica. A concentração destas espécies no filme foi investigada em função da energia e da fluência do feixe iônico. Variações nas concentrações dos elementos químicos presentes nas amostras com o bombardeamento iônico foram investigadas por Espectroscopia de Retro-espalhamento Rutherford. A espessura dos filmes foi medida com um perfilômetro, e associada aos dados obtidos por RBS, permitiu a determinação da densidade dos polímeros. Medidas de dureza dos filmes foram realizadas com a técnica de nanoindentação. Usando o método de duas pontas foi determinada a resistividade elétrica dos filmes e, através da exposição a plasmas de oxigênio, foi avaliada a resistência à oxidação. A interpretação dos resultados foi baseada nos perfis de perda de energia dos íons obtidos com o programa TRIM (TRansport of Ions in Matter)
Abstract: This work reports the influence of the ion implantation on the properties of thin plasma polymer films deposited from radiofrequency (40 MHz, 70 W) plasmas of two organic compounds (acetylene and benzene) and from their mixtures with noble gases. The irradiations were performed with an ion implanter, using He+, N+ and Ar+ ions, in the fluence and energy range of 1018 to 1021 ions/m2 and 50 to 150 keV, respectively. Infrared and ultraviolet-visible spectroscopies were employed to characterize the structural and optical properties of the films, respectively. Using Electron Paramagnetic Resonance spectroscopy, the formation of free radicals in the film structure was investigated as a function of the ion beam energy and fluence. Rutherford Backscattering Spectroscopy (RBS) was employed to determine the elemental composition of the samples and its change induced by the irradiation. Thicknesses of the films were measured with a profilemeter. Combination of the RBS and film thickness data allowed the determination of the density of the films. Hardness measurements were performed using the nanoindentation technique and the electrical resistivity of the films was determined by the two-point probe. The resistance to oxidation was determined from the etching rate of the polymers in an oxygen plasma. Interpretation of various experimental results were based on the implanted ion and energy loss simulation profiles, obtained with the TRansport of Ions in Matter ¿ TRIM code
Doutorado
Física
Doutor em Ciências
APA, Harvard, Vancouver, ISO, and other styles
7

Wu, Lingling. "Surface processing by RFI PECVD and RFI PSII." W&M ScholarWorks, 2000. https://scholarworks.wm.edu/etd/1539623997.

Full text
Abstract:
An RFI plasma enhanced chemical vapor deposition (PECVD) system and a large-scale RF plasma source immersion ion implantation (PSII) system were designed and built to study two forms of 3-D surface processing, PECVD and PSII. Using the RFI PECVD system, Ti-6Al-4V substrates were coated with diamond-like carbon films with excellent tribological and optical properties. as an innovation, variable angle spectroscopic ellipsometry (VASE) was successfully applied for non-destructive, 3-D, large-area tribological coatings quality investigation.;Based on the experience with the RFI PECVD system, a large-scale RFICP source was designed and built for the PSIL Langmuir probe and optical emission spectroscopy studies indicated that the RFI source produced stable, uniform, and clean plasma. MAGIC code was for the first time used to model PSII process, addressing different target geometries and boundaries, materials, plasma parameters, illustrated sheath formation and evolution, field distribution, ion and electron trajectories, ion incident angles, and dose distributions, which are critical for PSII design and understanding.;The RF PSII system was developed into a versatile large-area, uniform, 3-D surface processing apparatus, capable of PSII, PVD, PECVD, and in situ surface cleaning and interface properties modification, for multilayer, multi-step, and high performance surface engineering. Using the RFI PSII system, for the first time, PSII was studied as a mask-based surface layer conversion technique, for pattern writing by implantation as an alternative to current deposition-based and ink-based direct write technologies. It operates at low substrate temperature, keeps the original surface finish and dimensions, and avoids adhesion problem. A different operating mode of the RF source was discovered to perform biased sputtering of high purity quartz, which turned the RFI PSII system into a novel integrated RF PSII/PVD system for large-area, uniform, nitrogen-doped, and hydrogen-free SiO2 films deposition at low substrate temperatures. Nitrogen-doped SiO2 films with excellent optical properties were deposited on semiconductor, metal, and polymer substrates with excellent adhesion. Ellipsometry was used again for non-destructive SiO2 coatings investigation. FEL test electrodes processed by PSII/PVD showed suppressed field emission. A group of transition metals and an FEL test electrode were also implanted by nitrogen using the PSII mode and analyzed.
APA, Harvard, Vancouver, ISO, and other styles
8

CHAKRAVARTY, SRINIVAS L. N. "DEVELOPMENT OF SCRATCH RESISTANT PECVD SILICA-LIKE FILMS." University of Cincinnati / OhioLINK, 2000. http://rave.ohiolink.edu/etdc/view?acc_num=ucin973542599.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Zhu, Mingyao. "Carbon nanosheets and carbon nanotubes by RF PECVD." W&M ScholarWorks, 2006. https://scholarworks.wm.edu/etd/1539623509.

Full text
Abstract:
A planar antenna RF plasma enhanced chemical vapor deposition apparatus was built for carbon nanostructure syntheses. When operated in inductive and capacitive plasma discharging modes, two carbon nanostructures, carbon nanotube (CNT) and carbon nanosheet (CNS), were synthesized, respectively.;A nanosphere lithography method was developed and used to prepare catalyst patterns for CNT growth. Using capacitively coupled C2H2/NH 3 plasma, randomly oriented CNT were synthesized on Ni dot patterned Si substrates. Aligned CNT arrays were grown on SiO2 coated Si substrates, using both C2H2/NH3 and CH 4/H2 capacitive plasmas.;When operated in inductive coupling mode, CNS were successfully deposited on a variety of substrates without any catalyst. Carbon nanosheets are a novel two-dimensional structure, have smooth surface morphologies and atomically thin edges, and are free-standing roughly vertical to substrate surfaces. CNS have a defective graphitic crystalline structure, and contain only C and H elements. Typical CNS growth parameters are 680??C substrate temperature, 40% CH4 in H2, 900 W RF power, and 100 mTorr total gas pressure. Morphology, growth rate, and structure of CNS change with the variations in the growth parameters. Increasing substrate temperature yields a less smooth morphology, a faster growth rate, and more defects in CNS; increasing CH 4 concentration causes a faster growth rate and more defects in CNS, but only slightly changes the morphology; increasing RF power results in a more smooth morphology, a faster growth rate, and less defects in CNS; and decreasing total gas pressure induces a less smooth morphology, a faster growth rate, and more defects in CNS.;In CNS growth mechanism, a base layer forms underneath the vertical sheets; the growth of CNS is through growth species surface diffusion; the electric field near substrate surfaces promotes and keeps the vertical orientation of the CNS, and the atomic hydrogen etching keeps the CNS atomically thin.;Carbon nanosheets have large surface areas, and can stabilize metal thin films into particles 3-5 nm in diameters. For field emission testing, typical CNS have turn-on fields of 5-10 V/mum, a maximum emission current of 28 mA, an emission current density of 2 mA/mm2, and a life-time of 200 hours.
APA, Harvard, Vancouver, ISO, and other styles
10

Hartel, Andreas Markus [Verfasser], and Margit [Akademischer Betreuer] Zacharias. "Structural and optical properties of PECVD grown silicon nanocrystals embedded in SiOxNy matrix = Strukturelle und Optische Eigenschaften mittels PECVD hergestellter Silicium Nanokristalle." Freiburg : Universität, 2013. http://d-nb.info/1114995673/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "PECVD"

1

Geiser, Juergen. Simulation of deposition processes with PECVD apparatus. Hauppauge, N.Y: Nova Science Publishers, 2011.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ekinci, Yasin. Fabrication and characterisation of PECVD nanocrystalline silicon thin films. Leicester: De Montfort University, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

M, Pantic Dragan, Electrochemical Society, United States. National Aeronautics and Space Administration., and Symposium on Dielectric Films on Compound Semiconductors., eds. Electron beam induced damage in PECVD Si₃N₄ and SiO₂ films on InP. [Washington, D.C.]: NASA, 1990.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Lamberton, R. W. A study of the microstructure and growth of ultra-thin film amorphous hydrogenated carbon (a-C:H) prepared by plasma enhanced chemical vapour deposition (PECVD). [s.l: The Author], 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Spósito, Ernesto, Gabriela Moirano, and Viviana Barneche. PECV. Montevideo, Uruguay: IMM, Intendencia Municipal de Montevideo, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

service), SpringerLink (Online, ed. Low Pressure Plasmas and Microstructuring Technology. Berlin, Heidelberg: Springer-Verlag Berlin Heidelberg, 2009.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Great Britain. Department of the Environment. Wastes Technical Division., Warren Spring Laboratory, and Aspinwall and Company, eds. Development of the national household waste analysis programme: Summary report : prepared on behalf of the Department of the Environment under Research Contract PECD 7/10/288. London: Department of the Environment, Wastes Technical Division, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Chen, Junhong, Zheng Bo, and Ganhua Lu. Vertically-Oriented Graphene: PECVD Synthesis and Applications. Springer London, Limited, 2015.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Chen, Junhong, Zheng Bo, and Ganhua Lu. Vertically-Oriented Graphene: PECVD Synthesis and Applications. Springer, 2015.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Bo, Zheng, Chen Junhong, and Lu Ganhua. Vertically-Oriented Graphene: PECVD Synthesis and Applications. Springer, 2016.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "PECVD"

1

Won, Tae Kyung, Soo Young Choi, and John M. White. "Thin-Film PECVD (AKT)." In Flat Panel Display Manufacturing, 241–72. Chichester, UK: John Wiley & Sons Ltd, 2018. http://dx.doi.org/10.1002/9781119161387.ch12_01.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kikuchi, Masashi. "Thin-Film PECVD (Ulvac)." In Flat Panel Display Manufacturing, 273–86. Chichester, UK: John Wiley & Sons Ltd, 2018. http://dx.doi.org/10.1002/9781119161387.ch12_02.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kozak, A. O., V. I. Ivashchenko, O. K. Porada, L. A. Ivashchenko, O. O. Sytikov, V. S. Manzhara, and T. V. Tomila. "Multilayer PECVD Si–C–N Films." In Springer Proceedings in Physics, 397–404. Singapore: Springer Singapore, 2020. http://dx.doi.org/10.1007/978-981-15-1742-6_39.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Winter, Patrick M., Gregory M. Lanza, Samuel A. Wickline, Marc Madou, Chunlei Wang, Parag B. Deotare, Marko Loncar, et al. "Plasma-Enhanced Chemical Vapor Deposition (PECVD)." In Encyclopedia of Nanotechnology, 2126. Dordrecht: Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-90-481-9751-4_100662.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Flamm, Daniel L. "Plasma Chemistry, Basic Processes, and PECVD." In Plasma Processing of Semiconductors, 23–59. Dordrecht: Springer Netherlands, 1997. http://dx.doi.org/10.1007/978-94-011-5884-8_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Droes, Stevenx R., Toivo T. Kodas, and Mark J. Hampden-Smith. "Plasma-Enhanced Chemical Vapor Deposition (PECVD)." In Carbide, Nitride and Boride Materials Synthesis and Processing, 579–603. Dordrecht: Springer Netherlands, 1997. http://dx.doi.org/10.1007/978-94-009-0071-4_23.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Rashmi Rao, B., Navakanta Bhat, and S. K. Sikdar. "Thick PECVD Germanium Films for MEMS Application." In Physics of Semiconductor Devices, 469–71. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-03002-9_117.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Chen, Junhong, Zheng Bo, and Ganhua Lu. "Atmospheric PECVD Growth of Vertically-Oriented Graphene." In Vertically-Oriented Graphene, 55–65. Cham: Springer International Publishing, 2015. http://dx.doi.org/10.1007/978-3-319-15302-5_5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Bezzaoui, H., A. Baus, and E. Voges. "Integrated Optics on Silicon with PECVD-Fabricated Waveguides." In Micro System Technologies 90, 283–88. Berlin, Heidelberg: Springer Berlin Heidelberg, 1990. http://dx.doi.org/10.1007/978-3-642-45678-7_40.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Wagner, John R., and Merle N. Hirsh. "An Experimental PECVD Investigation — From an Industrial Viewpoint." In Plasma Processing of Polymers, 513–22. Dordrecht: Springer Netherlands, 1997. http://dx.doi.org/10.1007/978-94-015-8961-1_30.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "PECVD"

1

Pandraud, G., A. Barbosa Neira, P. M. Sarro, and E. Margallo-Balba. "PECVD SiC-SiO." In 2010 Ninth IEEE Sensors Conference (SENSORS 2010). IEEE, 2010. http://dx.doi.org/10.1109/icsens.2010.5690912.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Noree, Sabah, Ferhat Bozduman, I. Umran Koc, Ali Gulec, Mohammed Ismael, Yakup Durmaz, and Lutfi Oksuz. "Graphene synthesis by PECVD." In 2015 IEEE International Conference on Plasma Sciences (ICOPS). IEEE, 2015. http://dx.doi.org/10.1109/plasma.2015.7179692.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Ibbotson, D. E., J. J. Hsieh, D. L. Flamm, and J. A. Mucha. "Oxide Deposition By PECVD." In OPTCON '88 Conferences--Applications of Optical Engineering, edited by James E. Griffiths. SPIE, 1989. http://dx.doi.org/10.1117/12.951024.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Bazylenko, M. V., and D. Moss. "Two Types of Photosensitivity Observed in Hollow Cathode PECVD Germanosilica Planar Waveguides." In Bragg Gratings, Photosensitivity, and Poling in Glass Fibers and Waveguides. Washington, D.C.: Optica Publishing Group, 1997. http://dx.doi.org/10.1364/bgppf.1997.jmf.7.

Full text
Abstract:
Plasma enhanced chemical vapour deposition (PECVD) is a promising technique for growing intrinsically UV-photosensitive germanosilica waveguides. Conventional PECVD techniques have been used to fabricate low-loss waveguide devices since the late 1980's [1], but it is only recently that work has started on the development of UV-photosensitive PECVD glasses for direct writing, bragg gratings and UV-tuning applications [2]. Although high intrinsic photosensitivity in conventional PECVD germanosilica has been reported [3], its practical use has been limited by the high loss of this material due to N-H related absorption and film porosity. The loss can be reduced by high temperature annealing which, however, deletes the intrinsic photosensitivity, thus negating its potential advantage of PECVD over the more mainstream, flamehydrolysis technique.
APA, Harvard, Vancouver, ISO, and other styles
5

Pandraud, G., Y. Huang, P. M. Sarro, and F. Bernal Arango. "PECVD SiC photonic crystal sensor." In 2011 IEEE Sensors. IEEE, 2011. http://dx.doi.org/10.1109/icsens.2011.6127130.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Agah, M., and K. D. Wise. "PECVD-oxynitride gas chromatographic columns." In International Electron Devices Meeting 2005. IEEE, 2005. http://dx.doi.org/10.1109/iedm.2005.1609334.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Wang, Yu, Hui Guo, Haixia Zhang, Guobing Zhang, and Zhihong Li. "Fabrication and Test of PECVD SiC Resonator." In 2007 First International Conference on Integration and Commercialization of Micro and Nanosystems. ASMEDC, 2007. http://dx.doi.org/10.1115/mnc2007-21240.

Full text
Abstract:
This paper utilized SiC thin film deposited by low temperature PECVD to fabricate resonator at 300°C, following by annealing and doping to release its stress and improve its electronics contact. The test results show that, the frequency of PECVD SiC resonator is among 60–150KHz. The Q value of resonator was estimated according to the tested frequency-amplitude curve, is about 10±3. The ability of SiC resonators in erosion environment was also studied, under 5mins etching in KOH solution, the whole structure are kept very well. Therefore, this kind PECVD SiC resonator not only can be used widely in harsh environment, such as, high temperature, erosion and high pressure, but also can integrated with CMOS process, release the integration manufacturing of circuit and devices in micro-scale.
APA, Harvard, Vancouver, ISO, and other styles
8

Haure, T., A. Denoirjean, P. Tristant, H. Hidalgo, C. Leniniven, J. Desmaison, and P. Fauchais. "Alumina Duplex Coating by Multiprocesses: Air Plasma Spraying and Plasma Enhanced Chemical Vapor Deposition." In ITSC2001, edited by Christopher C. Berndt, Khiam A. Khor, and Erich F. Lugscheider. ASM International, 2001. http://dx.doi.org/10.31399/asm.cp.itsc2001p0613.

Full text
Abstract:
Abstract Thick alumina coatings produced by Air Plasma Spraying have an interconnected porosity, thus the use of these coatings in oxidizing or corrosive environment is not suitable. In this paper, a study is developed in order to limit this problem on metallic substrates. It consists in using two successive techniques: APS and PECVD. Two parameters have been shown to be important: the roughness and the preheating temperature. Two types of duplex (PECVD coating as top coat or as bond coat) have been achieved on two substrates (TA6V and stainless steel 316L). The optimization of each process has shown that the substrate has to be grit blasted and preheated (360°C for PECVD and 250°C for APS). This study has revealed that a good (36 ± 5 MPa) APS coating adhesion was obtained on smooth TA6V substrates (due probably to a chemical reaction between TiO2 and alumina) while for stainless steel substrates, the Ra has to be at least 2µm to achieve 66 ± 5. When observing the first APS splats sprayed on the PECVD alumina smooth layer, they exhibited a specific appearance: low flattening degree (about 2 against 5 on metallic substrates) with most of the alumina in the splat rim or some sort of lace morphology. However, as a whole, the adhesion of the APS coating on the PECVD one was excellent: 60 + 4. An electrochemical method has shown that the PECVD layer on APS coating has reduced drastically its open porosity.
APA, Harvard, Vancouver, ISO, and other styles
9

Cao, Zhiqiang, Tong-Yi Zhang, and Xin Zhang. "A Nanoindentation-Based Microbridge Testing Method for Mechanical Characterization of Thin Films for MEMS Applications." In ASME 2005 International Mechanical Engineering Congress and Exposition. ASMEDC, 2005. http://dx.doi.org/10.1115/imece2005-80288.

Full text
Abstract:
Plasma-enhanced chemical vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and MEMS (MicroElectroMechanical Systems) to form electrical and/or mechanical components. In this paper, a novel nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young’s modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young’s modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. The results showed that the as-deposited PECVD SiOx films had a residual stress of −155±17 MPa and a Young’s modulus of 74.8±3.3 GPa.
APA, Harvard, Vancouver, ISO, and other styles
10

White, Richard L., Bing K. Yen, Jan-Ulrich Thiele, Hans-Herman Schneider, James H. Rogers, and Bernd Jacoby. "Comparison of Energetic Carbon Deposition Processes for Use As Ultra-Thin Disk Overcoats." In STLE/ASME 2001 International Joint Tribology Conference. American Society of Mechanical Engineers, 2001. http://dx.doi.org/10.1115/trib-nano2001-109.

Full text
Abstract:
Abstract Three different processes, Plasma Enhanced CVD (PECVD), Ion Beam (IB), and Cathodic Arc (CA) have been used to deposit highly energetic carbon films in the 2–10 nm thickness range in commercial, high throughput disk manufacturing tools. The deposition conditions used are typical of those required for disk manufacturing. Raman spectroscopy, I-V measurements, nanoindentation, and AFM based scratch testing have been used to characterize the structural, electrical, and mechanical properties of the films. The measured maximum hardness for the PECVD and IBD films are 28 and 25 GPa, respectively, and found to be influenced by the hardness of the softer substrates for the 70–120nm films available for measurement. The scratch resistance of the CAC films is ∼2× the scratch resistance of the IBD films and 25% greater than the PECVD films. Addition of nitrogen to the films produced by both the PECVD and IB techniques reduces the hardness of the films. Both the Raman and I-V data suggest increasing concentrations of sp2 bonding result from these nitrogen additions.
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "PECVD"

1

Washington, Derwin. Reactive Ion Etching of PECVD Silicon Dioxide (SiO2) Layer for MEMS Application. Fort Belvoir, VA: Defense Technical Information Center, July 2004. http://dx.doi.org/10.21236/ada425806.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Liu, Yong. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD. Office of Scientific and Technical Information (OSTI), January 2002. http://dx.doi.org/10.2172/803355.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Zhang, Xin. Residual Stress and Fracture of PECVD Thick Oxide Films for Power MEMS Structures and Devices. Fort Belvoir, VA: Defense Technical Information Center, June 2007. http://dx.doi.org/10.21236/ada470256.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Deng, Xunming, and Qi Hua Fan. High-Rate Fabrication of a-Si-Based Thin-Film Solar Cells Using Large-Area VHF PECVD Processes. Office of Scientific and Technical Information (OSTI), December 2011. http://dx.doi.org/10.2172/1132817.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Tong, W., T. E. Felter, L. S. Pan, S. Anders, A. Cossy-Facre, and T. Stammler. The effect of aspect ratio and sp2/sp3 content on the field emission properties of carbon films grown by Ns-spiked PECVD. Office of Scientific and Technical Information (OSTI), April 1998. http://dx.doi.org/10.2172/666026.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Lucovsky, G., R. J. Nemanich, J. Bernholc, J. Whitten, C. Wang, B. Davidson, M. Williams, D. Lee, C. Bjorkman, and Z. Jing. Fundamental Studies of Defect Generation in Amorphous Silicon Alloys Grown by Remote Plasma-Enhanced Chemical Vapor Deposition (Remote PECVD), Annual Subcontract Report, 1 September 1990 - 31 August 1991. Office of Scientific and Technical Information (OSTI), January 1993. http://dx.doi.org/10.2172/6796766.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Lucovsky, G., R. J. Nemanich, J. Bernholc, J. Whitten, C. Wang, B. Davidson, M. Williams, D. Lee, C. Bjorkman, and Z. Jing. Fundamental studies of defect generation in amorphous silicon alloys grown by remote plasma-enhanced chemical-vapor deposition (Remote PECVD). Annual subcontract report, 1 September 1990--31 August 1991. Office of Scientific and Technical Information (OSTI), January 1993. http://dx.doi.org/10.2172/10129188.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography