Dissertations / Theses on the topic 'PAR-XPS'

To see the other types of publications on this topic, follow the link: PAR-XPS.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'PAR-XPS.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

El, Kazzi Mario. "ETUDE PAR PHOTOEMISSION (XPS & XPD) D'HETEROSTRUCTURES D'OXYDES FONCTIONNELS EPITAXIES SUR SILICIUM." Phd thesis, Ecole Centrale de Lyon, 2007. http://tel.archives-ouvertes.fr/tel-00321458.

Full text
Abstract:
Cette thèse se situe dans un des axes principaux de l'INL qui a pour objectif de développer des procédés de fabrication de films minces d'oxydes monocristallins, épitaxiés sur silicium. Ces oxydes pourraient remplacer les oxydes de grille amorphes de type SiOxNy ou HfSixOyNz et répondre au cahier des charges de la « Road Map » de l'ITRS dans les futures filières CMOS sub 22nm. L'intérêt de maîtriser l'épitaxie d'oxydes sur silicium va bien au-delà de l'application au CMOS. Un tel savoir faire serait une brique technologique essentielle pour pouvoir développer des filières d'intégration monolithique sur silicium.

Dans ce contexte, l'objectif principal de ma thèse a été de mener une étude approfondie des propriétés physicochimiques et structurales de couches fines d'oxydes élaborées par Epitaxie par Jets Moléculaires (EJM) sur substrat silicium ou oxyde, en utilisant la spectroscopie de photoélectrons (XPS) et la diffraction de photoélectrons (XPD).

Nous avons étudié dans un premier temps la relaxation de films minces de LaAlO3 et de BaTiO3 épitaxiés sur des substrats de SrTiO3(001). Nous avons montré qu'au-dessous d'une certaine épaisseur critique ces deux oxydes sont contraints de façon pseudomorphiques sur SrTiO3(001). De plus nous avons clairement mis en évidence une forte augmentation de la déformation ferroélectrique pour une couche contrainte de BaTiO3.

Dans un deuxième temps, nous avons aussi étudié la croissance de LaAlO3 sur Si(001). LaAlO3 est amorphe pour des températures de croissance en dessous de 500°C. Pour des températures supérieures il y a formation de silicates à l'interface qui empêche la cristallisation. Pour surmonter cette difficulté, des procédés d'ingénierie d'interface ont été développés pour limiter les réactions interfaciales et réussir la croissance épitaxiale. Ils sont basés sur l'utilisation de couches tampons interfaciales d'oxydes comme SrO, SrTiO3 et Al2O3.

Enfin, nous avons comparé les modes de croissance et la stabilité d'interface d'Al2O3 et de Gd2O3 épitaxiés sur Si(111) et Si(001). Les résultats prouvent que la croissance de ces deux oxydes sur Si(111) a une orientation suivant [111]. Par contre sur Si(001) le mécanisme de croissance est plus complexe avec des relations d'épitaxie et des orientations inhabituelles.
APA, Harvard, Vancouver, ISO, and other styles
2

Kazzi, Mario Hollinger Guy. "Etude par photoemission (XPS & XPD) d'hétérostructures d'oxydes fonctionnels epitaxies sur silicium." Ecully : Ecole Centrale de Lyon, 2007. http://bibli.ec-lyon.fr/exl-doc/melkazzi.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kazzi, Mario El. "Etude par photoemission (XPS & XPD) d'hétérostructures d'oxydes fonctionnels epitaxies sur silicium." Ecully, Ecole centrale de Lyon, 2007. http://www.theses.fr/2007ECDL0028.

Full text
Abstract:
Cette thèse se situe dans un des axes principaux de l’INL qui a pour objectif de développer des procédés de fabrication de films minces d’oxydes monocristallins, épitaxiés sur silicium. Ces oxydes pourraient remplacer les oxydes de grille amorphes de type SiOxNy ou HfSixOyNz et répondre au cahier des charges de la « Road Map » de l’ITRS dans les futures filières CMOS sub 22nm. L’intérêt de maîtriser l’épitaxie d’oxydes sur silicium va bien au-delà de l’application au CMOS. Un tel savoir faire serait une brique technologique essentielle pour pouvoir développer des filières d’intégration monolithique sur silicium. Dans ce contexte, l’objectif principal de ma thèse a été de mener une étude approfondie des propriétés physicochimiques et structurales de couches fines d’oxydes élaborées par Epitaxie par Jets Moléculaires (EJM) sur substrat silicium ou oxyde, en utilisant la spectroscopie de hotoélectrons (XPS) et la diffraction de photoélectrons (XPD). Nous avons étudié dans un premier temps la relaxation de films minces de LaAlO3 et de BaTiO3 épitaxiés sur des substrats de SrTiO3(001). Nous avons montré qu'au-dessous d’une certaine épaisseur critique ces deux oxydes sont contraints de façon pseudomorphiques sur SrTiO3(001). De plus nous avons clairement mis en évidence une forte augmentation de la déformation ferroélectrique pour une couche contrainte de BaTiO3. Dans un deuxième temps, nous avons aussi étudié la croissance de LaAlO3 sur Si(001). LaAlO3 est amorphe pour des températures de croissance en dessous de 500°C. Pour des températures supérieures il y a formation de silicates à l'interface qui empêche la cristallisation. Pour surmonter cette difficulté, des procédés d’ingénierie d’interface ont été développés pour limiter les réactions interfaciales et réussir la croissance épitaxiale. Ils sont basés sur l’utilisation de couches tampons interfaciales d’oxydes comme SrO, SrTiO3 et Al2O3. Enfin, nous avons comparé les modes de croissance et la stabilité d’interface d’Al2O3 et de Gd2O3 épitaxiés sur Si(111) et Si(001). Les résultats prouvent que la croissance de ces deux oxydes sur Si(111) a une orientation suivant [111]. Par contre sur Si(001) le mécanisme de croissance est plus complexe avec des relations d’épitaxie et des orientations inhabituelles
This thesis is on one of the main INL axes, the goal of which is to develop the growth procedures of thin oxide single crystal on silicon. These oxides are meant to replace the presently used amorphous gate oxide (SiOxNy and HfSixOyNz) by a high-κ oxide in future “sub 22nm” CMOS. Besides, the interest in controlling the growth of these oxides goes far beyond this oxide gate application. This know-how would be a technological breakthrough to develop monolithic integration on silicon. In this context, the main objective of my thesis has been to study the physico-chemical and structural properties of thin oxide layers grown by Molecular Beam Epitaxy (MBE) on silicon or oxide substrate. We have used X-ray photoelectron spectroscopy (XPS) and X-ray photoelectron diffraction (XPD). First, the stress relaxation of LaAlO3 and BaTiO3 grown on SrTiO3 (001) substrate has been investigated. We have proved that below a critical thickness this two oxides can be grown pseudomorphically and that beyond a plastic relaxation occurs. In addition, we have evidenced that the ferroelectric deformation is strongly enhanced in strained BaTiO3 thin films. Second, we have studied the LaAlO3 growth on Si(001). LaAlO3 is amorphous for growth temperature below 500°C. For higher temperature, the formation of silicates at the interface prevents the crystallization. Thus, an interface engineering strategy has been set up to avoid these interfacial reactions and to succeed an epitaxial growth,. Using SrO, SrTiO3 and Al2O3 as buffer Finally, a comparison of the growth mode and interface stability has been done between Al2O3 and Gd2O3 grown either on Si(111) or Si(001) substrates. Results show that this two oxides grow along the [111] direction on Si(111). However, on Si(001), the growth mechanism is more complex leading to unusual orientations and epitaxial relationships
APA, Harvard, Vancouver, ISO, and other styles
4

Leroy, Stéphane. "Etude d'interfaces électrode/électrolyte dans les batteries Li-ionApproche par XPS et AFM." Pau, 2006. http://www.theses.fr/2006PAUU3033.

Full text
Abstract:
Les accumulateurs lithium-ion sont aujourd'hui la principale source d'énergie de l'électronique portable (téléphones, ordinateurs,. . . ). Leur capacité (quantité d'électricité pouvant être fournie) est gouvernée par la structure électronique et cristallographique des matériaux d'électrode, alors que leur tenue en cyclage et leur durée de vie sont fortement liées à l'interface électrode/électrolyte. Dans ce travail de thèse, nous avons entrepris l'étude approfondie de couches interfaciales à l'aide de techniques d'analyse de surface adaptées permettant l'obtention d'informations chimiques et morphologiques : la spectroscopie photoélectronique à rayonnements X (XPS) et la microscopie à force atomique (AFM). Une part importante du travail a été consacrée à l'étude des couches formées au niveau du matériau d'électrode négative (graphite) d'une batterie lithium-ion commerciale : LiCoO2 // EC/DMC/DEC (2/2/1) ; LiPF6 (1mol. L-1) // graphite. Des approches originales d'analyse ont été mises en œuvre : 1) Etude approfondie de composés de référence ; différents produits de dégradation du solvant et du sel de l'électrolyte ont été caractérisés de façon approfondie par XPS. 2) Etude du processus de formation de la couche par le biais d'analyses systématiques XPS et AFM à différents états de charge par un suivi pas à pas. 3) L'influence de la nature de l'électrolyte a également été examinée. Nous avons ensuite abordé l'étude des couches interfaciales formées au niveau de l'électrode positive (LiCoO2) de la batterie Li-ion commerciale. Une extension du travail à d'autres matériaux prometteurs d'électrode négative (Cu6Sn5, CoSn2) a également été entreprise
The lithium-ion batteries are today the principal source of energy of portable electronics (telephones, computers. . . ). Their capacity (quantity of electricity being able to be provided) is controlled by the electronic and crystallographic structure materials of electrode, whereas their behaviour in cycling and their lifespan are strongly related to the interface électrode/électrolyte. In this work of thesis, we undertook the thorough study of interfacial layers using adapted techniques of analysis of surface allowing obtaining chemical and morphological information : the X-ray photoelectronic spectroscopy (XPS) and atomic force microscopy (AFM). A significant share of work was devoted to the study of the layers formed on the level of material of negative electrode (graphite) of a commercial lithium-ion battery : LiCoO2// EC/DMC/DEC (2/2/1); LiPF6 (1mol. L-1)// graphite. Original approaches of analysis were implemented : 1) Thorough study of reference's composed; various breakdown products of solvent and the salt of the electrolyte were characterized thoroughly by XPS. 2) Study of the process of formation of the layer by the means of systematic analyses XPS and AFM in various states of load by a follow-up step by step. 3) The influence of the electrolyte's nature was also examined. We then approached the study of the interfacial layers formed on the level of the positive electrode (LiCoO2) of the commercial battery Li-ion. An extension of work to other promising materials of negative electrode (Cu6Sn5, CoSn2) was also undertaken
APA, Harvard, Vancouver, ISO, and other styles
5

Mahjoub, Mohamed Aymen. "Contributions aux études quantitatives par spectroscopies électroniques (EPES et XPS) : Applications aux surfaces nanostructurées." Thesis, Clermont-Ferrand 2, 2016. http://www.theses.fr/2016CLF22666/document.

Full text
Abstract:
Ce travail porte sur le développement de nouvelles méthodes de caractérisation in-situ basées sur les spectroscopies électroniques XPS et MM-EPES associées à des calculs théoriques obtenus grâce à des simulations Monte-Carlo afin de réaliser des études quantitatives fines et précises. La première partie de ce travail, a été consacrée à l’analyse quantitative de signaux XPS et MM-EPES. Pour cela, dans un premier temps, la fonction de correction de l’analyseur hémisphérique (HSA) qui est une combinaison de l’aire d’analyse (A) et de la transmission (T) a été déterminée en utilisant une nouvelle méthode basée sur des images élastiques. Pour la première fois, la dépendance de A en énergie cinétique des électrons a été mise en évidence. Avec l’utilisation de cette nouvelle fonction, une méthode de caractérisation in situ basée sur la modélisation théorique des signaux XPS et MM-EPES a été développée. Cette méthode a permis d’étudier le dépôt d’un film d’or sur un substrat de silicium oxydé et a montré une grande précision dans le cas de très faibles quantités de matière déposée (< 2 nm) alors que les techniques microscopiques classiques se sont révélées inefficaces. La deuxième partie a porté sur le développement d’une nouvelle technique d’imagerie in-situ appelé MM-EPEM qui consiste à scanner la surface par un faisceau d’électrons et de collecter les électrons rétrodiffusés élastiquement afin de construire une image en intensité de la surface. Les étapes d’obtention des images MM-EPEM et les procédures d’exploitation de ces dernières ont été décrites et optimisées. Ensuite, cette technique a été utilisée pour l’étude de l’état de surface de dépôts d’or sur différents substrats. Cette technique s’avère être non destructive et très sensible aux éléments présents à la surface. Et elle permet de déterminer la cartographie chimique et la nano-organisation de la surface
This thesis focuses on the development of new in-situ methods of characterization based on the electron spectroscopies (XPS and EPES) coupled with theoretical calculations obtained through Monte-Carlo simulations in order to perform very precise quantitative studies. The first part of this thesis was devoted to quantitative studies of XPS and MM-EPES measurements. Firstly, the correction function of a hemispherical analyzer (HSA) which is a combination of the analysis area (A) and the transmission (T) was determined using a new method based on the elastic images. For the first time, the dependence of A on the kinetic energy of electrons was highlighted. Using this function, an in-situ method based on the combination of XPS and MM-EPES modeling was setting up. This method was used to determine the organization of gold film deposed on oxidized silicon substrate. Measurements show that this method is able to determine surface parameters when the microscopy techniques do not give any information in the case of a small quantity of gold deposit (less than 2 nm). The second part of this work was directed towards developing a new generation of microscopy called MM-EPEM which is based on the detection of elastic electrons. The stages required to obtain these images are well described and optimized here. The MM-EPEM images processing was used to study gold growth on different substrates. This technique is a non-destructive method and allows the operator to construct chemical tomography and to determine the nano-organization of the surface
APA, Harvard, Vancouver, ISO, and other styles
6

Hieulle, Jeremy. "Structures et propriétés électroniques de monocouches organiques auto-assemblées, caractérisée par STM et XPS." Palaiseau, Ecole polytechnique, 2014. http://www.theses.fr/2014EPXX0070.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Zouiten, Abdelaziz. "Caractérisation de surface des zéolithes et analyse de leurs propriétés acides : étude par XPS." Pau, 1995. http://www.theses.fr/1995PAUU3005.

Full text
Abstract:
Les zéolithes ou tamis moléculaires (alumino-silicates cristallins micro-poreux), comme tout catalyseur hétérogène, présentent souvent des compositions et des propriétés de surface différentes de celles du volume. Leur caractérisation requiert des méthodes spécifiques d'analyse de surface. Une des plus utilisées et potentiellement des plus efficaces est la spectroscopie photoélectronique à rayonnement X (XPS ou ESCA). Dans ce mémoire nous répertorions les divers modes d'utilisation de cette spectroscopie, ses apports et ses limitations. Utilisée seule, elle permet la détermination quantitative de la composition chimique de la surface des matériaux. Ainsi peuvent tre vérifiées l'intégrité de la surface, l'efficacité des traitements (déalumination, échange d'ions,), la présence d'entités étrangères au réseau cristallin, etc. . Ce type d'étude est illustré par l'analyse de cristaux représentatifs de plusieurs grandes familles de zéolithes. Couplée avec des expériences de chimisorption et de thermo-désorption de gaz réactifs (Nh3 par exemple), elle offre une description détaillée de la réactivité de surface des solides. Cette démarche est appliquée à l'analyse de l'acidité de surface de faujasites Hy et de mazzites et complétée par une étude théorique menée à l'aide d'une méthode semi-empirique (AM1) et d'une méthode ab-initio.
APA, Harvard, Vancouver, ISO, and other styles
8

Vittoz, Christelle. "Étude de l'acido-basicité des surfaces par mouillabilité et XPS : application aux aciers inoxydables." Grenoble INPG, 1997. http://www.theses.fr/1997INPG0042.

Full text
Abstract:
Les aciers inoxydables sont des materiaux caracterises en surface par une couche passive, protectrice vis-a-vis de la corrosion, dont la composition chimique et la structure varie en fonction de la composition de l'alliage et de l'histoire de l'echantillon (nature du recuit, du vieillissement, du traitement de surface). Dans de nombreux domaines d'applications, la connaissance des proprietes d'adhesion de ces surfaces est necessaire. L'objet de cette etude consiste de determiner en particulier les caracteristiques acido-basiques de ces surfaces. Pour cela, nous avons utilise une methode originale basee sur la methode de la goutte posee dont le principe consiste a analyser l'evolution des angles de contact en fonction du ph de la solution aqueuse. A partir des proprietes des oxydes en presence d'une solution aqueuse, on a pu demontrer que cette evolution permet d'obtenir le point de charge nulle (pcn) et la charge maximale de la surface etudiee, ces parametres etant directement relies aux proprietes acido-basiques des surfaces au sens de brnsted. Dans un premier temps, l'application de cette methode pour la caracterisation des proprietes acido-basiques de surfaces modeles (silice, alumine) a permis de valider le principe et l'approche theorique proposee. Ensuite, des surfaces d'aciers inoxydables (aisi 304, fecrsi) ayant subi differents traitements ont ete ainsi caracterisees. Une etroite correlation a ete mis en evidence entre le comportement acido-basique et la composition chimique de la surface determinee par les spectroscopie d'electrons (xps, aes). Au regard de ces premiers resultats, il semble que les proprietes acido-basiques des aciers inoxydables peuvent etre modifier en modifiant la chimie des films passifs.
APA, Harvard, Vancouver, ISO, and other styles
9

Alami, Mohammed. "Métallisation des polymères par le procédé "electroless" : étude XPS des étapes préalables d'activation des surfaces." Lyon 1, 1994. http://www.theses.fr/1994LYO10300.

Full text
Abstract:
Le present travail porte sur la metallisation (nickelage chimique) des polymeres par le procede electroless dit encore autocatalytique et plus particulierement sur les traitements de surface prealables a cette metallisation. Cette etude, realisee dans un premier temps sur le polycarbonate, envisage successivement le traitement de surface du polymere par voie chimique et par plasma de gaz reactifs, puis le traitement mis en uvre pour rendre la surface catalytique vis-a-vis de la reaction de reduction des ions ni#2#+. Ce dernier traitement a d'abord ete realise par le processus classique a deux etapes: sensibilisation par sncl#2 puis activation par pdcl#2. Chacune des etapes precedentes a ete etudiee par xps. Les analyses correspondantes ont permis de mettre en evidence les modifications de surface du polymere a la suite des differents traitements par plasma et d'interpreter les mecanismes reactionnels mis en jeu lors de la chimisorption des ions sn#2#+ puis pd#2#+. Elles ont montre que les ions pd#2#+ etaient capables de s'adsorber directement lors d'un simple traitement par pdcl#2 sur une surface porteuse de groupements fonctionnels azotes apportes par un traitement plasma n#2 ou nh#3. Cette caracteristique a ete mise en evidence par analyse xps sur des polymeres naturellement porteurs de fonctions azotees (polyamide-polyacrylonitrile). Cette etude, essentiellement basee sur des analyses de surface par xps, a permis de mettre au point un protocole operatoire simplifie a une seule etape pour adsorber du palladium sur la surface des polymeres. Ce protocole, qui permet de s'affranchir des solutions de sncl#2 facilement oxydables, presente un interet certain pour la mise en uvre de la metallisation electroless
APA, Harvard, Vancouver, ISO, and other styles
10

Chanson, Romain. "Gravure de l’InP par plasma ICP chloré et HBr/Ar : modélisation multiéchelle et analyse XPS." Nantes, 2012. http://archive.bu.univ-nantes.fr/pollux/show.action?id=2b207546-39e2-4c12-871a-17575da77fd5.

Full text
Abstract:
Dans le cadre de l’ANR Blanc INCLINE (Inductively Coupled Plasmas for CMOS compatible etchINg of high performance III-V integrated laser sourceEs), nous avons développé un simulateur de gravure de l’InP par plasmas ICP Cl2/Ar/N2 et HBr/Ar. Ce simulateur est basé sur une approche multiéchelle composée de trois modules, un modèle de plasma, un modèle de gaine et un modèle de gravure. Le modèle de plama permet de déterminer les densités et les flux d’espèces neutres et chargées ainsi que la température électronique en fonction des paramètres machine. La densité et la température électronique sont ensuite injectées dans le modèle de gaine. Ce dernier est basé sur une approche cellulaire Monte-Carlo, permettant d’étudier le transport des ions traversant la gaine. Les fonctions de distributions générées par le modèle de gaine sont les données d’entrée du modèle de gravure. Ce dernier est basé sur une approche cellulaireMonte-Carlo, permettant de suivre l’évolution temporelle des profils de gravure à travers le masque. L’un des résultats de simulation a mis en évidence le rôle de la désorption chimique lors de la formation du bowing. D’autre part, un mécanisme de passivation des flancs par l’azote a été proposé. Une étude XPS d’échantillons gravés par plasma Cl2 ou Cl2/H2, nous a permis d’observer le rôle important de la température de pendant la gravure. Les effets d’autres paramètres comme l’influence chimique des espèces Cl2 et H2 ou la tension d’accélération ont aussi été décrits. Deplus, nous montrons la présence de phosphore élémentaire à la surface des échantillons gravés en plein champ. Enfin, des motifs rubans ont été analysés. La première expérience montre que la surface des flancs est plus riche en phosphore que la surface du fond des motifs, quelle que soit la chimie de gravure (Cl2 ou Cl2/H2)
APA, Harvard, Vancouver, ISO, and other styles
11

Airault, Alain. "Caractérisation de catalyseurs platine-rhodium sur alumine et sur zircone par spectroscopie XPS et réactions catalytiques." Poitiers, 1995. http://www.theses.fr/1995POIT2322.

Full text
Abstract:
Ce travail a pour objectif de comparer le comportement d'une alumine gamma et d'une zircone comme supports pour des catalyseurs bimetalliques platine-rhodium. Les caracterisations ont principalement ete effectuees a l'aide de la reaction d'oxydation du propane en sous-stoechiometrie d'oxygene (oxydation + vaporeformage) et de la spectroscopie photoelectronique aux rayons x (xps). Les catalyseurs supportes sur alumine sont tres sensibles au traitement oxydant: l'oxydation a haute temperature provoque un frittage des particules metalliques et une migration du rhodium dans le support. Ces catalyseurs sont regenerables par un traitement reducteur qui redisperse la phase metallique et fait ressortir le rhodium. Avec la zircone pour support, les catalyseurs sont moins sensibles au frittage oxydant et la migration du rhodium, observee avec l'alumine, n'a pas lieu. De plus, l'enrichissement en rhodium pour le bimetallique (ptrh22z) est moindre. Neanmoins, la regeneration en milieu reducteur est nefaste si elle est operee a haute temperature sur des particules oxydees. C'est pourquoi elle doit etre operee a basse temperature. Une recalcination permet par ailleurs de regenerer l'activite oxydante des catalyseurs reduits riches en platine. Les catalyseurs deposes sur la zircone presentent aussi des phenomenes de desactivation lies a une encapsulation de la phase metallique par transformation du support lors des traitements a haute temperature. Le support peut etre stabilise par une prereduction de la zircone a temperature elevee avant l'impregnation des sels metalliques. En outre, le pretraitement du support limite les phenomenes de desactivation en vaporeformage entre 550 et 750c, phenomenes deja observes sur l'alumine et lies a la formation de depots carbones. Grace a ce pretraitement, l'activite initiale et la resistance au frittage des catalyseurs platine-rhodium sur zircone sont conservees
APA, Harvard, Vancouver, ISO, and other styles
12

Le, Seigneur Pierre Jacques. "Caractérisations de dépôts de nickel sur TiO#2. Etude par EXAFS de surface, XPS et SIMS." Dijon, 1994. http://www.theses.fr/1994DIJOS031.

Full text
Abstract:
Ce travail s'inscrit dans le cadre de l'étude des systèmes métaux/oxydes qui intéresse la catalyse et l'industrie de l'électronique et du verre. L'étude a été réalisée sur un système modèle, afin d'obtenir des informations sur la morphologie et la croissance du Ni sur TiO#2, et sur leurs propriétés électroniques. Dans une première approche macroscopique (dépôt d'environ 150 a#o de nickel), nous avons montré par spectrométrie de masse d'ions secondaires (SIMS) dynamique que la morphologie du dépôt n'était pas influencée par la stchiométrie de l'oxyde. Après un traitement thermique réducteur de ces dépôts a 700 K (pouvant conduire à une perte d'activité catalytique du nickel), nous avons montré que le mécanisme de création de défauts dans l'oxyde régissait la diffusion du nickel dans celui-ci. Dans une seconde approche, nous avons étudié des dépôts très fins (inférieurs a 3 équivalents monocouches (eqMC) par spectroscopie de photoélectrons (XPS). Quelle que soit la stchiométrie de l'oxyde, aucun transfert de charge n'est observé entre le métal et l'oxyde ; le nickel garde un caractère métallique. Après traitement thermique réducteur, nous observons une diffusion d'un oxyde de titane sur le nickel métallique dans le cas où l'oxyde est destchiometrisé en volume. Enfin par EXAFS de surface, nous avons pu montrer que la croissance du nickel ne se faisait pas sous la forme d'agrégats, mais selon des plans compacts de croissance du nickel sur la face TiO#2(110)p(1x1), nous avons montré que le nickel s'agençait selon deux rangées compactes d'atomes, dans les canaux du substrat. Tout ce travail a permis de souligner l'importance non seulement de la stchiométrie de surface du support, mais aussi de sa stchiométrie de volume sur les caractéristiques des dépôts.
APA, Harvard, Vancouver, ISO, and other styles
13

Steinmann, Pierre-Albert. "Etude par XPS des propriétés chimiques de l'interface en relation avec le comportement tribologique de revêtements de MoS₂ déposés par pulvérisation cathodique /." Lausanne, 1993. http://library.epfl.ch/theses/?display=detail&nr=1138.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Prévost, Marina. "Etude par spectroscopies XPS et ISS d'hétéropolyoxométalates massiques et supportés, catalyseurs d'oxydation sélective : Interaction support-phase déposée." Lille 1, 1989. http://www.theses.fr/1989LIL10118.

Full text
Abstract:
Etude physico-chimique de composés phosphovanadomolybdiques, massiques et supportés, par les techniques spectroscopiques photoélectronique par rayons x et des ions retrodiffusés ainsi que les mesures de potentiel de surface. Ces hétéropolyoxométalates sont d'excellents catalyseurs mais présentent une mauvaise stabilité. Une étude bibliographique a permis de dégager les différents facteurs influant sur la stabilité de ces composés. Mesures de potentiel de surface de H4PMo11V40 et de son sel de sodium Na1,5H2,5PMo11VO40 massiques. La même étude réalisée sur l'acide déposé sur différents supports (Sio2, SiC et SiC-SiO2) montre un effet stabilisant du support sur le catalyseur
APA, Harvard, Vancouver, ISO, and other styles
15

Houssenbay, Sabine. "Etude par XPS et spectroscopie Raman de l'élaboration de catalyseurs d'hydrodéazotation "NiO - MoO3" sur de nouveaux supports." Lille 1, 1989. http://www.theses.fr/1989LIL10066.

Full text
Abstract:
Caractérisation des différentes étapes de la préparation des précurseurs oxydes à partir du support préformé, afin de déterminer un effet de support sur la nature et la dispersion des phases oxométallates déposées. Établissement d'une échelle de basicite relative des supports. Détermination de l'état sulfure de ces catalyseurs : identification de la nature des espèces à base de Ni et Mo, mise en évidence de la phase active de type "NiMoS" et étude systématique de la genèse et de la stabilité de cette phase sur les différents supports (alumine, aluminate, zircone, oxyde de titane)
APA, Harvard, Vancouver, ISO, and other styles
16

Benayad, Anass. "Etude par spectroscopie photoélectronique à rayonnement X (XPS) de matériaux potentiels d'électrode positive pour microaccumulateurs au lithium." Bordeaux 1, 2005. http://www.theses.fr/2005BOR13127.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

De, Vito Éric. "Etude par spectroscopie XPS et électrochimie de la passivation et de la corrosion localisée d'aciers inoxydables austénitiques traités par implantation ionique de molybdène." Paris 6, 1992. http://www.theses.fr/1992PA066681.

Full text
Abstract:
Des alliages fe-19cr-10ni(at%) ont ete traites par implantation ionique de molybdene (mo#+,100kev,2. 510#1#6at. Cm#-#2). Le profil de concentration du molybdene implante etabli par spectroscopies xps et rbs a montre que la concentration de mo qui est de 4at% en surface, passe par un maximum de 8. 5at% a une profondeur de 150n et decroit ensuite jusqu'a une profondeur de 600n. Les effets du molybdene implante sur la passivation de l'alliage en milieu h#2so#40. 5 m ont ete etudies en couplant des techniques electrochimiques (potentiostatiques, potentiocinetiques et etda) a la spectroscopie de photoelectrons (xps), les transferts d'echantillons etant effectues a l'abri de l'air. Le comportement electrochimique de l'alliage en milieu h#2so#40. 5m est considerablement modifie par la presence de mo implante. La dissolution a l'etat actif est inhibee alors qu'une vague d'oxydation apparait dans une region plus anodique en potentiel. Cette vague a ete expliquee par une dissolution preferentielle de fer ainsi que d'une partie du molybdene implante qui se trouve enrichi a l'etat d'oxydation +4 dans le film a l'etat actif. Ceci explique d'une part l'enrichissement en elements allies sous le film passif, d'autre part la composition ulterieure du film passif. Celui-ci possede une structure bicouche avec une couche externe d'hydroxyde de cr recouvrant une couche interne d'oxydes de fe et cr. Le molybdene est localise dans la partie externe du film passif majoritairement a l'etat d'oxydation +6. Son role serait de faire croitre l'epaisseur du film d'hydroxyde. La resistance a la dissolution dans le domaine passif n'est pas amelioree par mo. En revanche le role protecteur du molybdene vis-a-vis de la piquration a ete clairement mis en evidence en milieu chlorure. Le molybdene piegerait les ions cl# lors de sa dissolution au cours de la formation du film et permettrait ainsi la passivation de l'alliage
APA, Harvard, Vancouver, ISO, and other styles
18

Grimal, Jean-Michel. "Etude par XPS de l'effet antagoniste du soufre et du chrome sur la passivation d'alliages Ni Cr Fe." Grenoble : ANRT, 1989. http://catalogue.bnf.fr/ark:/12148/cb37593899w.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Bodenes, Lucille. "Etude du vieillissement de batteries lithium-ion fonctionnant à haute température par Spectroscopie Photoélectronique à rayonnement X (XPS)." Thesis, Pau, 2012. http://www.theses.fr/2012PAUU3050/document.

Full text
Abstract:
Les accumulateurs lithium-ion occupent aujourd’hui une place prédominante dans le domaine du stockage de l’énergie. Leur fonctionnement et les phénomènes impliqués dans leur vieillissement sont relativement bien connus, aux températures d’utilisation proches de la température ambiante. Cependant, leur utilisation dans le cadre d’applications dites « haute température », telles que le forage pétrolier, la stérilisation « in situ » ou la géolocalisation, nécessite la levée de certains verrous techniques : la stabilité de l’électrolyte et des liants d’électrodes, la compatibilité électrolyte/séparateur, le vieillissement des matériaux et l’évolution des interfaces. Les accumulateurs sélectionnés pour ces travaux de thèse sont constitués d’un matériau lamellaire de type Li(Ni,Mn,Co)O2 pour l’électrode positive, et de graphite pour l’électrode négative. Afin de décrire les phénomènes de vieillissement associés à une telle utilisation, des analyses de surface ont été menées par Spectroscopie Photoélectronique à rayonnement X sur les électrodes issues d’accumulateurs cyclés à haute température. Ces analyses ont permis de mettre en évidence la dégradation du liant de l’électrode positive et l’évolution des interfaces électrodes/électrolyte à 85 et 120°C, et d’améliorer le choix des composants des batteries pour de meilleures performances à haute température
Nowadays, lithium-ion batteries occupy a prominent place in the field of energy storage. Phenomena involved in their aging mechanisms are quite well known for operating temperatures close to room temperature. However, their use at high temperatures for applications such as oil drilling, "in situ" sterilization or freight tracking requires some technical issues to be improved: stability of the electrolyte and electrode binders, compatibility electrolyte / separator, aging of active materials and changes of the interfaces. The batteries selected for this thesis consist of a Li(Ni,Mn,Co)O2 lamellar material at the positive electrode and graphite at the negative electrode. To describe aging phenomena related to high temperature, surface analyzes were carried out by X-ray Photoelectron Spectroscopy on the electrodes of batteries cycled at 85 and 120°C. These analyzes reveal the degradation of the positive electrode’s binder, and the changes of electrodes/electrolyte’s interfaces at high temperature compared to ambient temperature
APA, Harvard, Vancouver, ISO, and other styles
20

Bouih, Hassan. "Caractérisation par spectroscopie photoélectronique à rayonnement X(XPS) et calculs quantiques des entités de base de verres sulfurés." Pau, 1995. http://www.theses.fr/1995PAUU3030.

Full text
Abstract:
Ce travail a eu pour but l'analyse par spectroscopie photoélectronique à rayonnement X (XPS) et calculs quantiques des entités de base (formateurs et modificateurs) de verres sulfurés. Concernant les modificateurs trois sulfures alcalins ont été examinés : LI#2s, NA#2s et K#2S. L'évolution des bandes de Valence a été interprétée de façon satisfaisante dans le cadre de la méthode TB-EHT en relation avec les variations des caractéristiques de chaque materiau. Compte tenu d'une structure covalente plus complexe l'étude du formateur B#2S#3 vitreux a nécessité des analyses approfondies tant sur un plan expérimental que théorique. En XPS l'énergie de liaison E#1B1S d'un atome de bore a environnement sulfure a pu être caractérisée de façon originale. L'analyse du pic S2P a mis en évidence l'existence de deux types principaux d'atomes de soufre. En nous appuyant sur les hypothèses structurales réalisées sur ces verres (entités mono ou bidimensionnelles) et par le biais de modélisations théoriques de fragments en méthode ab initio nous avons pu effectuer l'attribution des données expérimentales. L'étude effectuée sur le formateur AS#2S#3 a conduit à la détermination en XPS d'un seul type d'atome de soufre à caractère plus négatif que ceux de B#2S#3 en accord avec les résultats des modélisations théoriques.
APA, Harvard, Vancouver, ISO, and other styles
21

GRIMAL, JEAN MICHEL. "Etude par xps de l'effet antagoniste du soufre et du chrome sur la passivation d'alliage sni cr fe." Paris 6, 1989. http://www.theses.fr/1989PA066215.

Full text
Abstract:
L'etude par xps de la surface d'alliages ni cr fe dopes en soufre apres dissolution anodique puis passivation a mis en evidence l'incorporation de sulfures de nickel dans la couche passive majoritairement constituee d'oxydes de chrome. Ceci resulte d'une competition entre la croissance d'oxydes de chrome et de sulfures de nickel sur la surface avant passivation
APA, Harvard, Vancouver, ISO, and other styles
22

Foix, Dominique. "Caractéristiques structurales et électroniques de verres chalcogénures : Etude par spectroscopie photoélectronique à rayonnement X (XPS) et calculs quantiques." Pau, 2002. http://www.theses.fr/2002PAUU3032.

Full text
Abstract:
Ce travail a été consacré à l'analyse de verres chalcogénures par spectroscopie photoélectronique à rayonnement X (XPS) couplée à des calculs quantiques. Une première partie du mémoire traite de verres thiosilicates et thiogermanates pour lesquels une analyse des pics de cœur XPS a permis de dégager certaines conclusions quant à l'influence d'un changement de teneur et de nature de l'agent modificateur. Pour approfondir les résultats expérimentaux, nous avons réalisé des calculs ab-initio en formalisme Hartree Fock sur les verres thiogermanates. L'analyse des spectres de valence XPS (des verres thiogermanates et thiosilicates) a également été réalisée à partir d'une étude approfondie effectuée en calcul périodique FPLAPW, sur les phases cristallisées GeS2, Na2GeS3 et SiS2. Un deuxième aspect de cette thèse concerne le suivi, lors de la mise en solution, des évolutions chimiques à la surface de membranes de capteurs chimiques à base de verres thioarséniates et sensibles aux ions cuivre
The aim of this work is the analysis by X-Ray Photoelectron Spectroscopy (XPS) of chalcogenide glasses associated with theoretical calculations. The first part of this report deals with thiosilicate and thiogermanate glasses for which a XPS analyses of core peaks allowed us to determine the influence of a change of modifier content and nature. In order to support the experimental results, ab initio calculations (HF - LanL2DZ) were performed on clusters modelling thiogermanate glasses. Beside this core peak study, the XPS valence spectra of thiogermanate and thiosilicates glasses were analysed. These analyses were based on theoretical calculations performed in a FPLAPW method on reference crystalline materials such as GeS2, Na2GeS3 et SiS2. The second part of this report concerns the study of the chemical evolution (for soaked samples) at the surface of a membrane of chemical sensors based on thioarseniate glasses and sensitive to cupric ions
APA, Harvard, Vancouver, ISO, and other styles
23

Fontaine, Charly. "Analyse par XPS d'empilements High-K Metal Gate de transistors CMOS et corrélation des décalages d'énergie de liaison aux tensions de seuil." Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT011/document.

Full text
Abstract:
Les dernières technologies microélectroniques embarquent des transistors dont les isolants de grille sont des isolants à forte constante diélectrique (high-k en anglais) associés à des grilles métalliques (on utilise l'abréviation HKMG pour high-k – metal gate). Si cet empilement permet de garder une quantité de charges suffisante dans le canal, il est plus difficile de contrôler les tensions de seuil des transistors à cause de la présence de charges et de dipôle dans ces couches ou aux interfaces. Deux études préliminaires ont établi qu'il existe une corrélation entre les énergies de liaisons des éléments mesurées par XPS d'un empilement HKMG et la tension de seuil d'un transistor utilisant ce même empilement. Des charges sont présentes dans les couches isolantes des empilements HKMG, conduisant à un décalage du potentiel électrostatique au sein de ces couches. Ceci induit une modification du travail de sortie effectif de l'électrode métallique du transistor. Et en XPS ces charges induisent une variation de l'énergie cinétique des électrons extraits des couches se trouvant sous ces charges. L'objectif de cette thèse est de simuler de manière quantitative l'impact électrostatique induit par ces charges et dipôles et de comparer cet impact aux décalages des raies XPS ainsi qu'aux mesures électriques des tensions de seuil des transistors. Ceci permettra ensuite d'estimer la variation des tensions seuil des transistors très en amont dans le procédé de fabrication
The last microelectronic technologies includes transistors with materials of high dielectric constant (high-k ) associated to metal gate (we use the abbreviation HKMG for high-k - bad metal). If this pile allows to keep a sufficient quantity of charges in the channel, it is more difficult to check the threshold voltage of transistors because of the presence of charge and of dipole in these layers or in the interfaces. Two preliminary studies established that there is a correlation between the binding energies measured by XPS of a pile HKMG and the threshold voltage of a transistor using the same pile. Charges are present in the insulating layers of piles HKMG, leading to a difference of the electrostatic potential within these layers. A modification of the effective workfunction of the metallic electrode of the transistor in s then observed, and in XPS these charges lead t oa variation of the kinetic energy of electrons extracted from the layer. The purpose of this thesis is simulate in a quantitative way the electrostatic impact of this charges and dipôles and to compare this impact with the observation made by XPS as well as with the electric measures of the threshold voltage of transistors. This will then allow to estimate the variation of the threshold voltage of transistors well further in the manufacturing process
APA, Harvard, Vancouver, ISO, and other styles
24

Raoult, François. "Stabilisation de la résistance électrique des couches de CdSe déposées par évaporation sous vide analyse par XPS et deltaR-TPD de l'ionosorption de l'oxygène sur ces couches /." Grenoble 2 : ANRT, 1987. http://catalogue.bnf.fr/ark:/12148/cb37611056f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

DEMUYNCK, LAURENT. "Nucleation et croissance de couches diamant elaborees par cvd sur differents substrats de silicium. Etude par spectroscopies d'electrons : electrons auger (aes), photoemission x (xps), pertes d'energies (els)." Université Louis Pasteur (Strasbourg) (1971-2008), 1995. http://www.theses.fr/1995STR13228.

Full text
Abstract:
Les couches de diamant obtenues par la technique cvd font l'objet de nombreuses recherches en vue d'applications industrielles. Les proprietes des films diamant etant fortement liees a la nature des joints de grains, les applications electroniques et optiques sont difficilement realisables tant que l'heteroepitaxie des films n'est pas atteint. La nucleation et la croissance du diamant sont fortement influencees par la presence du carbone et/ou de defauts a la surface du support et passent par plusieurs etapes (interface carbure, formation de sites de nucleation, diffusion de surface)
APA, Harvard, Vancouver, ISO, and other styles
26

Le, Gouil Anne. "Etude et développement de procédés de gravure plasma pour l'élaboration des grilles métalliques pour les filières technologiques CMOS : cas de l'empilement Si/TiN/HfO2." Université Joseph Fourier (Grenoble), 2006. http://www.theses.fr/2006GRE10164.

Full text
Abstract:
La diminution des dimensions des transistors MOS, qui permet d'augmenter leur densité sur une puce, induit des effets parasites qui perturbent fortement le fonctionnement des dispositifs. Le silicium et son oxyde jusqu'alors utilisés pour le module de grille des transistors sont remis en question au profit de nouveaux matériaux: des métaux pour la grille, et des matériaux à forte permittivité diélectrique pour le diélectrique de grille. Ce travail porte sur l'élaboration par gravure plasma d'une grille métallique polysilicium/TiN/Hf02 en vue d'une intégration pour les noeuds technologiques 45 nm et 32 nm. L'analyse des plasmas de gravure halogénés et des surfaces gravées par spectrométrie de masse, spectrométrie de photoélectrons X (XPS) et par des techniques de caractérisation morphologique (MEB, TEM, AFM) a permis de dégager les principaux mécanismes de gravure de TiN. Les stratégies de procédé de gravure de l'empilement de la grille et l'impact des procédés plasma sur l'intégrité des matériaux ont ensuite été discutés. La gravure de TiN en plasma HBr est sélective vis à vis de la couche d'arrêt Hf02 mais elle génère de la pente dans les profils gravés, alors que le plasma de C12, plus réactif, conduit à une gravure latérale de la grille et induit des phénomènes de micro masquage. Cela impose un mélange HBr/CI2 et une gravure a faible énergie de bombardement ionique pour la gravure sélective du métal. La stratégie de gravure du silicium de la grille a du être repensée car l'intégration d'une couche métallique entre le silicium et le diélectrique de la grille modifie la distribution des charges statiques à la surface de la couche d'arrêt, ce qui perturbe le contrôle dimensionnel des profils gravés. De plus la gravure de TiN doit être anisotrope et sélective vis-à-vis de Hf02 tout en respectant l'intégrité de la partie supérieure de la grille en silicium. Ce travail montre que pour éviter la formation d'une encoche latérale à l'interface silicium/métal pendant la gravure du TiN il est nécessaire de contrôler à la fois les couches de passivation qui protègent les flancs du silicium et la composition chimique des dépôts qui recouvrent les parois du réacteur (car cette dernière influence les taux de recombinaison et donc les densités des atomes de CI et de Br dans le plasma). Il est donc important de contrôler les étapes de conditionnement et de nettoyage des réacteurs de gravure
As the dimensions of CMOS transistors shrink, parasitic effects become more significant and the electrical device properties are perturbated. Silicon and silicon oxide materials are no more suitable for the gate module, and it is expected that metals and high-k dielectric will replace them soon. This work focuses on plasma etching ofmetal gate polysilicon/TiN/Hf02 for their integration in 45 nm and 32 nm technology nodes. Halogen-based plasmas and plasma-surface interactions have been analyzed by mass spectrometry, Xray photoelectron spectroscopy and morphological characterization techniques (SEM, TEM, AFM). This allowed to understand the TiN etching mechanisms and to develop metal gate stack etching processes. It is shown that TiN etching in HBr plasma is selective towards Hf02 but leads to tapered profiles, whereas TiN etching in CI2 plasma is more isotropic and leads to roughness formation (due to micromasking) and thus selectivity issues. Hence anisotropic and selective etching ofTiN must be achieved in HB/CI2 mixture at low RF bias power. Furthermore when etching a polySi/metal gate stack, the silicon etching process must be rethought because the introduction of a metallayer modifies the charges distribution on etch stop layer, which results in damaged silicon etched profiles. Ln addition TiN etch process must respect the integrity of silicon gate sidewalls. This work shows that notching of silicon at the Si/TiN interface during TiN etching is an issue that can only be overcome by controlling the passivation layers formed on the silicon gate sidewalls and the reactor walls conditions. As a matter of fact, the chemical nature of the coatings formed on the reactor walls controls the density of CI and Br atoms in the plasma and thus its notching capability. It is thus particularly important to control the reactor wall conditions for metal gate etching applications
APA, Harvard, Vancouver, ISO, and other styles
27

RAOULT, FRANCOIS. "Stabilisation de la resistance electrique des couches de cdse deposees par evaporation sous vide : analyse par xps et delta r-tpd de l'ionosorption de l'oxygene sur ces couches." Rennes 1, 1987. http://www.theses.fr/1987REN10011.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Royer, Jacques. "Etude par spectroscopie de photoelectrons xps de l'interaction induite par faisceau d'electrons ou d'ions neon entre de l'hexafluorure de soufre adsorbe et du silicium monocristallin a basse temp." Nantes, 1994. http://www.theses.fr/1994NANT2087.

Full text
Abstract:
Ce memoire presente une etude fondamentale de l'interaction, induite par faisceau d'electrons ou d'ions neon, entre de l'hexafluorure de soufre et du silicium monocristallin a basse temperature. Il s'agit d'une contribution a la comprehension du processus de gravure plasma a basse temperature. Les donnees experimentales ont ete acquises par spectroscopie de photoelectrons xps. Le bombardement electronique d'une couche condensee d'hexafluorure de soufre (de quelques dizaines d'angstroms) sur un substrat de silicium a 100k provoque la dissociation induite des molecules sf#6 en six etapes successives. Le fluor atomique cree a chaque etape de la decomposition et le soufre atomique forme uniquement lors de la phase finale de la dissociation peuvent alors se chimisorber a la surface du silicium. A dose energetique equivalente, un faisceau d'electrons de 5 kev active plus efficacement la chimisorption du fluor et du soufre qu'un faisceau d'electrons de 100 ev. Les electrons de 5 kev ont en effet une profondeur de penetration superieure a l'epaisseur de l'adsorbat contrairement aux electrons de 100 ev. L'action simultanee de molecules gazeuses sf#6 et d'un faisceau d'ions neon de 500 ev sur un substrat de silicium engendre la creation de liaisons si-f et si-s a la surface du solide. La cinetique de cette chimisorption dissociative de sf#6 activee par les ions est favorisee par l'accroissement du taux de recouvrement du silicium par les molecules sf#6 physisorbees (augmentation de la pression du gaz et/ou diminution de la temperature du silicium). Cependant, au-dela d'un taux de recouvrement seuil (estime a quelques monocouches), le mecanisme de l'interaction est fortement ralenti, les ions n'atteignant plus l'interface entre l'adsorbat et le substrat. Le modele cinetique developpe permet d'interpreter la loi d'arrhenius inverse regissant l'influence de la temperature sur la vitesse de fluoration du silicium pour un taux de recouvrement inferieur au taux seuil
APA, Harvard, Vancouver, ISO, and other styles
29

CONSTANT, LAURENT. "Nucleation et croissance de couches diamant elaborees par hfcvd sur cuivre polycristallin et monocristallin (111) etudiees par spectroscopies electroniques : photoemission x (xps), electrons auger (aes), pertes d'energie (eels)." Université Louis Pasteur (Strasbourg) (1971-2008), 1997. http://www.theses.fr/1997STR13082.

Full text
Abstract:
Nous avons synthetise du diamant par le procede de depot chimique en phase gazeuse par filaments chauds (hfcvd) sur du cuivre polycristallin et monocristallin (111). Dans un premier temps, nous utilisons la microscopie electronique a balayage (meb) pour definr les principaux parametres de la nucleation et de la croissance. Ensuite, la croissance du diamant est etudiee par spectroscopie des electrons auger (aes) et spectroscopie de photoemission x (xps), directement connectees a la chambre de synthese. L'analyse des transitions auger c kvv et des intensites relatives du pic c1s nous permet de presenter un modele de nucleation-croissance en trois etapes : d'abord formation de une ou deux couches de graphite orientees, puis croissance tres lente de ces couches de graphite qui se distordent en s'eloignant de la surface du cuivre et enfin nucleation du diamant. La microscopie electronique a transmission (met) et la diffraction en aire selectionnee (sad) confirment ce modele et montrent l'existence de petits agregats de graphite de forme spherique (oignons) ou polyhedrique.
APA, Harvard, Vancouver, ISO, and other styles
30

HERBELIN, JEAN-MARC. "Etude par spectroscopie de photoelectrons (xps) et radiochimie (c136) de l'interaction des ions chlorures avec le film passif forme sur le nickel." Paris 6, 1990. http://www.theses.fr/1990PA066170.

Full text
Abstract:
L'influence des chlorures sur la passivation du nickel a ete etudiee a l'aide de deux methodes: une methode radiochimique c136 et la spectroscopie des photoelectrons xps. La methode radiochimique nous a permis de determiner in situ l'adsorption des chlorures sur la surface, ou leur incorporation dans les films superficiels au cours du traitement electrochimique. Une forte incorporation en chlorures dependante du potentiel est observee dans le domaine actif, tandis que la transition active passive s'accompagne d'une chute de la concentration superficielle liee a la dissolution du chlorure de nickel. La concentration superficielle se stabilise dans le domaine passif, mais elle augmente dans la phase qui precede la piquration, phenomene qui s'accentue lorsqu'intervient la piquration. Les modifications induites par les chlorures sur les films passifs ont ete caracterisees par l'xps. L'incorporation des chlorures preserve la structure bicouche (oxyde interne, hydroxyde externe), mais provoque une augmentation de l'epaisseur. La distribution des chlorures a ete determinee pour completer l'etude radiochimique. Ainsi l'incorporation des chlorures au debut de la piquration a ete etudiee de maniere approfondie. Aux potentiels inferieurs a la piquration, les chlorures sont essentiellement localises dans la couche d'hydroxyde; aux potentiels superieurs en revanche, les chlorures sont presents dans tout le film. La diffusion des chlorures dans la couche d'oxyde est donc consideree comme responsable de la piquration. L'incorporation des chlorures dans l'oxyde destabilise le film en raison du nombre de lacunes creees et susceptibles de coalescer. Ces resultats apportent une nouvelle orientation dans l'interpretation des phenomenes de corrosion localisee par piqures
APA, Harvard, Vancouver, ISO, and other styles
31

Deroubaix, Gérard. "Etude par radiochimie (s#3#5*) et spectroscopie de photoelectrons (xps) des premiers stades de sulfuration d'un alliage cu-30% zn (laiton)." Paris 6, 1991. http://www.theses.fr/1991PA066702.

Full text
Abstract:
Dans ce travail, les premieres etapes de la sulfuration en milieu h#2s/h#2, d'un alliage cu-30% zn ont ete etudiees a l'aide de la radiochimie du soufre 35 (s#3#5*) et de la spectroscopie de photoelectrons (xps). En xps, un etalonnage precis de la technique sur les differents produits de reaction a ete realise. La radiochimie nous a permis de montrer que la cinetique de sulfuration, a 200c et sous un rapport de pression ph#2s/ph#2 de 1,21 10##3, presente trois regimes reactionnels distincts. Le premier regime correspond a une sulfuration rapide, le second a une evolution plus lente et le troisieme a une nouvelle augmentation de la vitesse de reaction. L'xps a permis l'etude de l'evolution de la surface de l'alliage dans les differents stades de preparation et de sulfuration. Les resultats d'analyses ont montre que dans tous les cas etudies, a l'exception de la preparation par bombardement ionique la surface initiale (avant sulfuration) est recouverte d'une couche mince d'oxyde de zinc (10 a 20 a). Le premier regime de sulfuration correspond donc a la sulfuration directe par h#2s de cette couche d'oxyde, pour donner du sulfure de zinc. Dans le second regime, une couche continue de zns s'est formee, mais des ilots de zno subsistent a l'interface sulfure-alliage. Ces ilots se transforment lentement en zns, probablement par reaction avec des ions s#2# ayant diffuse dans les joints de grains de zns. Des germes de cu#2s apparaissent dans le troisieme regime cinetique a partir de la surface de l'alliage, et tendent ensuite a recouvrir le sulfure de zinc
APA, Harvard, Vancouver, ISO, and other styles
32

Dupin, Jean-Charles. "Etude par spectroscopie photoélectronique à rayonnement X(XPS) des caractéristiques électroniques de couches minces amorphes d'oxydes et d'oxysulfures de métaux de transition." Pau, 1998. http://www.theses.fr/1998PAUU3026.

Full text
Abstract:
Ce travail a été consacré à l'analyse par spectroscopie photo électronique à rayonnement X de couches minces amorphes utilisables en tant qu'électrode positive dans des micro batteries au lithium. La première partie du mémoire traite de couches minces dérivées de l'oxyde massif Licoo 2, matériau utilisé dans des accumulateurs à ions lithium commerciaux. L'analyse des caractéristiques intrinsèques de ces matériaux a montré la présence au niveau du cobalt de deux degrés d'oxydation +III et +IV (ce dernier constituant une donnée originale en XPS), d'ions lithium en site octaédrique et tétraédrique ainsi que d'un excès systématique d'oxygène. Cet excès serait lie à des coordinations inusuelles des ions oxygènes intervenant dans les zones frontières des nanodomaines pseudo-ordonnes mis en évidence par microscopie électronique à transmission. L'étude des processus de charge-décharge en batterie a fait apparaître une très bonne réversibilité au niveau du cobalt et une implication des atomes d'oxygène (oxydation des ions O2 en ions de type péroxyde comme dans NA 2O 2 ou SRO 2). La deuxième partie du mémoire concerne des couches minces amorphes oxysulfurées et principalement des films à base de tungstène (WO YS Z). Trois environnements ont été caractérises au niveau du métal (totalement sulfure, totalement oxygène et mixte oxygène-soufre). La présence de paires disulfures a été identifiée à côté d'atomes de soufre de type S2-. La confrontation de ces données avec les résultats de travaux antérieurs sur des couches minces MOO YS Z et ceux que nous avons obtenus de façon complémentaire sur des films TIO YS Z a fait apparaître des points communs mais également certaines différences. Ces comparaisons soulignent l'importance de la configuration électronique de valence du métal sur les caractéristiques propres de ces amorphes. L'analyse des mécanismes électrochimiques des films WO YS Z a montré que les premières espèces concernées par le processus de réduction étaient les ions W 6+ et les paires S2 2-. La mise en œuvre d'une modélisation théorique a permis de mieux appréhender ce dernier point. L'ensemble de ces travaux a bien révélé l'implication des anions à côté de celle du métal dans les processus Redox.
APA, Harvard, Vancouver, ISO, and other styles
33

Mazet, Lucie. "Epitaxie par jets moléculaires de l'oxyde BaTiO3 sur Si et Si1xGex : étude de la croissance, des propriétés structurales ou physico-chimiques et de la ferroélectricité : applications à des dispositifs à effet de champ." Thesis, Lyon, 2016. http://www.theses.fr/2016LYSEC021/document.

Full text
Abstract:
L’intégration monolithique d’oxydes ferroélectriques sur substrats semi-conducteurs pourrait permettre l'ajout de nouvelles fonctionnalités sur puces de la nanoélectronique. L'utilisation d'un ferroélectrique est en particulier intéressante pour la réalisation de dispositifs à basse consommation d'énergie. Toutefois, leur intégration se heurte à un certain nombre de verrous scientifiques et technologiques tels que le contrôle de l'interface oxyde/semi-conducteur, l’instabilité de la polarisation ferroélectrique en couches minces ou encore la compatibilité de l'intégration avec les procédés industriels actuels. Les principaux objectifs de ma thèse ont été : l'optimisation de la croissance MBE de BaTiO3 épitaxié sur Si et Si1-xGex en termes de structure cristalline et de propriétés ferroélectriques, l’étude des effets de taille sur la ferroélectricité et le démarrage de l’intégration de BaTiO3 dans des dispositifs à effet de champ. Différentes conditions de croissance sur substrats de silicium, en particulier la température et la pression d'oxygène P(O2), ont été étudiées. Les analyses de diffraction des rayons X (XRD) combinées à des techniques avancées de microscopie électronique en transmission (STEM-HAADF, GPA, EELS) ont permis d'établir une corrélation, à l'échelle locale, entre l'orientation de la maille tétragonale et la composition cationique des films. La ferroélectricité de films orientés axe c, d'épaisseur 16-20 nm, préparés sous des pressions partielles P(O2) de 1-5 x 10-7 Torr, à 450-525°C, et avec un recuit post-dépôt sous oxygène, a été mise en évidence par microscopie à force atomique en mode piézoélectrique (PFM). Nous avons également démontré la ferroélectricité de couches ultra-minces (1.6, 2.0, 2.8, 3.2 et 4.0 nm) par PFM et par des mesures complémentaires de microscopie à force atomique en mode Kelvin (permettant d'exclure un mécanisme d'origine purement électrochimique). Pour 4, 5, 7 et 8 monocouches, l'amplitude de la polarisation pointant vers l'interface supérieure (Pup) est supérieure à celle de la polarisation Pdown. Ceci est attribué à des régions non ferroélectriques ou à des régions polaires dont la polarisation est ancrée aux interfaces. Nous avons ensuite étudié la croissance de BaTiO3 épitaxié sur substrats Si1-xGex, ce qui constitue une approche inédite, particulièrement intéressante pour moduler les contraintes, notamment en vue des futurs transistors. Afin de comprendre l'effet de la présence de Ge, la croissance de BaTiO3 sur Si0.8Ge0.2 contraint sur Si(001) a été étudiée. Le suivi de la croissance in-situ par spectroscopie de photoélectrons X et l’analyse de la structure cristalline et de l’interface par XRD et STEM-HAADF ont révélé l'importance de la préparation du substrat. La passivation de Si0.8Ge0.2 avec des atomes de Ba permet l’épitaxie directe d’un film de BaTiO3 orienté (112), ceci par l'intermédiaire d'une couche d'interface épitaxiée, identifiée comme étant le silicate de structure orthorhombique Ba2SiO4. Ce silicate est épitaxié selon deux orientations dans le plan de Si0.8Ge0.2, ce qui conduit aux deux orientations <110> et <111> observées pour BaTiO3 dans le plan du substrat. Enfin, en collaboration avec IBM Research, une voie d’intégration basse température « gate-last » a été développée pour intégrer les couches minces de BaTiO3 dans des dispositifs à effets de champ sur Si (condensateurs et transistors). Les films de BaTiO3 ont été déposés par MBE sur des substrats pré-structurés. Un procédé approprié a été choisi pour le dépôt de l'électrode TiN et pour la lithographie/gravure. Certains empilements, composés d'une matrice amorphe et de nano-grains dans les structures capacitives, présentent un comportement ferroélectrique (Tc~105°C). Cette première démonstration d’une capacité ferroélectrique de BaTiO3 "quasi-amorphe" sur Si à permittivité relative modérée (~25) et à faible courant de fuite est particulièrement intéressante. [...]
No abstract
APA, Harvard, Vancouver, ISO, and other styles
34

Louahadj, Lamis. "Développement de l'épitaxie par jets moléculaires pour la croissance d'oxydes fonctionnels sur semiconducteurs." Thesis, Ecully, Ecole centrale de Lyon, 2014. http://www.theses.fr/2014ECDL0038/document.

Full text
Abstract:
Le développement de l’industrie microélectronique a été jusqu’à récemment essentiellement basé sur une augmentation régulière des performances des composants liée à une réduction toujours plus poussée de leurs dimensions dans la continuité de la loi de Moore. Cette évolution se heurte cependant aux limitations intrinsèques des propriétés physiques du couple silicium-silice sur lesquelles elle repose. La diversification des matériaux intégrés sur Si devient ainsi un enjeu majeur du développement de cette industrie. Dans ce contexte, les oxydes dits fonctionnels forment une famille de matériaux particulièrement intéressante : leurs propriétés physiques (ferroélectricité, ferromagnétisme, diélectricité, piézoélectricité, effet Pockels fort) ainsi que la possibilité de les combiner sous forme d’hétérostructures par épitaxie ouvrent la voie à la fabrication de composants innovants et ultraperformants pour des applications dans les domaines de la micro et de l’optoélectronique, de la spintronique, des micro-ondes et des MEMS. Ces oxydes, et plus spécifiquement ceux appartenant à la famille des pérovskites, sont classiquement épitaxiés par ablation laser (PLD), pulvérisation cathodique ou dépôt de vapeur chimique (CVD) sur des substrats de SrTiO3 (STO). Cependant, ces substrats sont inadaptés aux applications industrielles du fait de leur taille limitée au cm2 et de leur qualité structurale médiocre. Par ailleurs, définir une stratégie pour intégrer ces matériaux sur Si est indispensable pour le développement d’une filière susceptible d’avoir des débouchés applicatifs. Dans ce contexte, l’utilisation de l’épitaxie par jets moléculaires (l’EJM) pour la croissance de ces oxydes est particulièrement pertinente, puisque cette technique permet de fabriquer des couches minces monocristallines de STO sur Si et sur GaAs, ce qui ouvre la voie à l’intégration d’oxydes fonctionnels sur ces substrats via des templates de STO. Cependant, l’EJM est une technique peu mature pour la croissance des oxydes fonctionnels, et doit donc être développée pour cet objectif. C’est le but de ce travail de thèse, financé par un contrat CIFRE avec la société RIBER, équipementier pour l’épitaxie par jets moléculaires, et entrant dans le cadre d’un laboratoire commun entre RIBER et l’INL pour le développement de l’EJM d’oxydes fonctionnels. Nous présentons tout d’abord les développements techniques que nous avons menés autour d’un réacteur EJM « oxydes » prototype. Nous montrons notamment comment nous avons pu améliorer la fiabilité des sources d’oxygène, Sr, Ba et Ti nécessaires à l’épitaxie de matériaux clés que sont le STO et le BaTiO3 ferroélectrique. Nous montrons ensuite comment ces développements techniques nous ont permis de mieux comprendre et mieux maîtriser la croissance de templates de STO sur Si, et en particulier que la cristallisation du STO, initialement amorphe sur Si, est catalysée par un excès de Sr aux premiers stades de la croissance. Nous montrons comment il est possible de contrôler cet excès de Sr pour qu’il ne détériore pas la qualité des couches minces, et nous proposons d’une manière plus générale une étude de l’influence de la stoechiométrie de l’alliage sur ses propriétés structurales. Nous montrons également comment l’utilisation de notre source d’oxygène à plasma permet d’obtenir une oxydation satisfaisante des couches minces d’oxyde. Nous donnons enfin quelques exemples d’intégration sur Si d’oxydes fonctionnels (PZT piézoélectrique, BaTiO3 ferroélectrique) réalisés sur des templates de STO/Si. Nous avons enfin initié l’étude de la croissance par EJM de STO sur des substrats de GaAs et enfin, réaliser la première démonstration d’intégration de PZT ferroélectrique monocristallin sur GaAs
The development of microelectronics industry has been, until recently, essentially based on the regular improvement of device performances thanks to the downscaling strategy as a continuity of Moore’s law. This evolution is now confronted to the intrinsic physical properties limitations of the material used in the silicon industry (Si and SiO2). Integrating different materials on silicon thus becomes a major challenge of industry development. In this context, functional oxides form a very interesting family of materials: their physical properties (ferroelectricity, ferromagnetism, piezoelectricity, strong Pockels effect) and the possibility to combine them (heterostructures) by epitaxy opens a way for fabricating innovating and high-performance components for applications in micro and optoelectronic, spintronic, micro-waves and MEMs… These oxides and specifically those belonging to the perovskite family are classicaly grown by Laser Ablation (PLD), sputtering or by chemical vapour deposition (CVD) on STO substrates. These substrates are inappropriate for industry applications due to their limited size (1cm²) and their relatively bad structural quality. On the other hand, defining a strategy for integrating these materials on silicon is essential for future applications. In this context, using molecular beam epitaxy (MBE) for the growth of oxides is particularly relevant since this technique allows fabricating monocristalline thin films of STO on Silicon and on GaAs, which open the way of integrating other functional oxides on this substrates via templates of STO. However, MBE is not a mature technique for functional oxides growth. The purpose of this PhD work, financed by a CIFRE contract with the RIBER Company, equipment manifacturer for molecular beam epitaxy, is to develop the growth of functional oxides by MBE. It enters into the framework of a joint laboratory signed between RIBER and INL In this work, we first present technical development performed on a prototype MBE reactor dedicated to oxide growth. We show by then how these technical developments allow a better understanding and control of the growth of STO on Si templates, in particular the crystallisation of initially amorphous STO on Silicon, which is catalysed by an excess of Sr at the first stage of the growth. We demonstrate how it is possible to control this Sr excess so that it does not affect the film quality. We propose a study of the effect of STO cationic stoechiometry on the structural properties. We also show how the use of a conveniently designed oxygen plasma source allows for obtaining good oxidation of the oxide thin films. Finally, we detail a few examples of integration of functional oxides (piezoelectric PZT, ferroelectric BTO) on templates STO/Si. We have also studied the growth of STO on GaAs substrates by MBE and we demonstrate the first integration of monocristalline ferroelectric PZT on GaAs
APA, Harvard, Vancouver, ISO, and other styles
35

Brunon, Céline. "Application des caractérisations de surface par XPS, ToF-SIMS, SIMS, EELS, SEM, AFM et TEM à la compréhension des mécanismes de protection antimicrobienne de textiles modifiés par traitements de surface." Thesis, Lyon 1, 2010. http://www.theses.fr/2010LYO10294.

Full text
Abstract:
Ce travail de thèse s’inscrit dans la partie caractérisation d’un projet collaboratif ayant pour objectif d’élaborer des textiles antimicrobiens pour différents domaines d’application, en particulier les domaines de la santé et de l’agroalimentaire. La démarche analytique a consisté à combiner différentes techniques d’analyse de surface (techniques microscopiques (SEM, AFM, TEM) et spectroscopiques (XPS, ToF-SIMS, SIMS, EELS)) avec des analyses microbiologiques pour aider à la compréhension des mécanismes de protection antimicrobienne des textiles traités. Les agents antimicrobiens, l’argent et le Poly HexaMéthylène Biguanide (PHMB), ont été déposés respectivement par plasma (PVD / PECVD) et par foulardage. Les contraintes liées aux domaines d’application des textiles étudiés (implants herniaires et vêtements professionnels) ont été prises en compte (respectivement, quantité minimale de l’agent antimicrobien et résistance au lavage industriel). Malgré certaines contaminations inhérentes à des procédés industriels, les analyses de surface se sont révélées être un ensemble d’outils essentiel au développement des procédés (qualité du dépôt, influence des conditions de dépôt, influence du lavage). Selon les domaines d’application, l’analyse à très haute sensibilité en extrême surface et l’étude de la distribution en profondeur de l’agent antimicrobien ont été des étapes clés pour la compréhension des propriétés antimicrobiennes observées pour les dépôts, démontrant la pertinence de l’approche multi-analytique choisie dans ce travail de thèse
This thesis work concerns the characterization effort within a cooperation project aiming at developing antimicrobial textiles for various application fields, particularly health applications and food-processing industry. The analytical approach combined different surface analysis techniques (microscopy techniques (SEM, AFM, TEM) and spectroscopy techniques (XPS, ToF-SIMS, SIMS, EELS)) to microbiological tests in order to understand the antimicrobial activity of deposits at the surface of textiles. Silver and Poly Hexamethylene Biguanide (PHMB) antimicrobial agents were deposited by plasma (PVD / PECVD) and padding, respectively. Specific constraints related to the application fields (hernia implants and clothing) were considered (minimum concentration in antimicrobial agent and resistance to industrial washing, respectively). Despite some ubiquitous contamination related to industrial processes, surface analysis techniques proved to be an essential help to develop these processes (deposit quality, influence of deposition conditions, influence of washing). Depending on the application fields, high sensitivity surface analysis at the extreme surface and in-depth distribution of the antimicrobial agent were essential to understand the antimicrobial properties of the deposits, which confirms the relevance of the multi-analytical approach used in this thesis work
APA, Harvard, Vancouver, ISO, and other styles
36

Benoist, Laurent. "Caractérisation par spectroscopie photoélectronique a rayonnement x (xps) de matériaux amorphes massifs et sous forme de couches minces, utilisables dans les microgénérateurs électrochimiques." Phd thesis, Université Sciences et Technologies - Bordeaux I, 1996. http://tel.archives-ouvertes.fr/tel-00150020.

Full text
Abstract:
De nouveaux matériaux d'électrode positive, des couches minces TiOySz et MoOySz, ont été analysés par xps. Les caractéristiques intrinsèques de ces matériaux ont été déterminées et les processus rédox mis en jeu lors du cyclage de générateurs expérimentaux ont été précisés. Parallèlement, des études ont été effectuées en XPS sur des matériaux d'électrolyte ((1-x) B2S3-xLi2S et (1-x) As2S3-xLi2S) sur leurs domaines vitreux respectifs ; en utilisant comme sonde principale le pic de coeur S2p, l'influence du modificateur sur le formateur de réseau a été analysée.
APA, Harvard, Vancouver, ISO, and other styles
37

Benoist, Laurent. "Caractérisation par spectroscopie photoélectronique à rayonnement X (XPS) de matériaux amorphes massifs et sous forme de couches minces, utilisables dans des microgénérateurs électrochimiques." Bordeaux 1, 1996. http://www.theses.fr/1996BOR10570.

Full text
Abstract:
De nouveaux matériaux d'électrode positive, des couches minces TiOySz et MoOySz, ont été analysés par xps. Les caractéristiques intrinsèques de ces matériaux ont été déterminées et les processus rédox mis en jeu lors du cyclage de générateurs expérimentaux ont été précisés. Parallèlement, des études ont été effectuées en XPS sur des matériaux d'électrolyte ((1-x) B2S3-xLi2S et (1-x) As2S3-xLi2S) sur leurs domaines vitreux respectifs ; en utilisant comme sonde principale le pic de coeur S2p, l'influence du modificateur sur le formateur de réseau a été analysée.
APA, Harvard, Vancouver, ISO, and other styles
38

Bournel, Fabrice. "Étude des modes d'adsorption de dérivés de l'éthylène sur Pt(111) et aluminium polycristallin par photoémission (UPS, XPS), absorption X (NEXAFS) et infrarouge (RAIRS)." Paris 6, 1995. http://www.theses.fr/1995PA066544.

Full text
Abstract:
Les mécanismes d'adsorption de dérivés de l'éthylène sur Pt(111) et aluminium polycristallin ont été étudiés en vue de comprendre l'influence sur la réactivité de la combinaison d'une fonction chimique avec une double liaison c=c. Ces molécules organiques sont l'acide acrylique, l'acroléine, le méthylméthacrylate et l'acide propanoïque. La complémentarité de différentes techniques d'analyse de surface (étude des niveaux de cur, xps, des niveaux de valence, ups, étude des niveaux inoccupés, nexafs et des modes de vibrations, rairs) a permis de préciser les modes d'adsorption de ces molécules. Ces résultats ont été confrontés à des calculs semi-empiriques d'orbitales moléculaires. Nous avons ainsi montré que sur Pt(111) à 95 k, les molécules pouvaient se classer en deux groupes: l'acroléine et l'acide propanoïque sont physisorbes sur la surface alors que l'acide acrylique et le MMA sont chimisorbes. Ces différences révèlent que les mécanismes d'interaction avec une surface métallique sont complexes et ne correspondent pas à la résultante de réactivité des groupements chimiques composants l'adsorbat. Sur aluminium polycristallin a 95 k, une dissociation partielle des molécules est observée avec chimisorption d'oxygène sur la surface et adsorption de carboxylates pour les acides et de molécules non dissociées pour l'acroléine et le MMA. Par contre, à 300 k, toutes les molécules sont dissociées, avec formation de liaisons al-c, d'oxyde en surface et adsorption de divers fragments. On peut relier ces phénomènes aux différences de structure électronique des deux métaux.
APA, Harvard, Vancouver, ISO, and other styles
39

Gauthier, Nicolas. "Caractérisation physico-chimique des interfaces électrode/électrolyte dans les accumulateurs lithium-ion constitués d'une anode Li4Ti5O12, de leurs vieillissements et de leurs interactions : Analyse complémentaire par XPS, ToF-SIMS et AES." Thesis, Pau, 2019. http://www.theses.fr/2019PAUU3033.

Full text
Abstract:
Le développement de l’accumulateur Li-ion et les solutions technologiques apportées pour son amélioration en matière de cyclabilité et de sécurité permettront dans le futur de généraliser son utilisation dans les véhicules électriques et de pérenniser l’approvisionnement énergétique de ces derniers. L’intégration de titanate de lithium (Li4Ti5O12), comme électrode négative alternative au graphite (électrode le plus couramment utilisé dans les systèmes commerciaux) dans les batteries Li-ion peut répondre à ces exigences. Néanmoins, des réactions parasites survenant à l’interface électrode LTO/électrolyte, au cours du cyclage de l’accumulateur, sont responsables d’une production de gaz importante et de la formation d’une couche interfaciale (appelée SEI), dont l’impact sur le fonctionnement de l’accumulateur représente un frein à son utilisation. La SEI formée sur les électrodes de LTO, est d’épaisseur de l’ordre de quelques nanomètres. De fait, les travaux réalisés ont mis à contribution la sensibilité d’extrême surface de trois techniques appropriées pour l’étude des interfaces électrode/électrolyte et de leurs interactions : la Spectroscopie Photoélectronique à rayonnement X (XPS), la microscopie Auger à balayage (SAM) et la spectrométrie de masse d’ions secondaires à temps de vol (ToF-SIMS). Les résultats présentés dans ce manuscrit sont ainsi issus de l’étude physico-chimique des interfaces électrodes/électrolyte dans les accumulateurs lithium-ion constitués d'une anode de Li4Ti5O12, de leurs vieillissements et de leurs interactions. Les électrodes positives utilisées au cours de ces travaux, composées d’oxydes tels que le LiFePO4, le LiNi3/5Mn1/5Co1/5O2 et le LiMn2O4, sont ceux habituellement intégrés dans les systèmes commerciaux. Différents paramètres susceptibles d'avoir une influence sur les performances électrochimiques de l’accumulateur et sur les propriétés de la SEI (épaisseur, composition chimique, dissolution) et notamment celle formée à l'interface électrodes LTO/électrolyte ont donc été étudiés. En particulier, la nature de l'électrode positive a été modifiée, la température de cyclage, les régimes de fonctionnement et les tensions de coupure à haut (4,6 V) et bas (0,0 V) potentiels ont été variés ainsi que la composition de l'électrolyte (d'une part le sel de lithium et d'autre part le solvant) et la composition de l’électrode de LTO elle-même
The development of the Li-ion batteries and the adapted technological solutions for their improvement in terms of cyclability and safety will allow to generalize their use in electric vehicles in the future and to perpetuate their energy supply. The use of lithium titanate (Li4Ti5O12) as an alternative negative electrode to graphite (the most commonly used electrode in commercial systems) in Li-ion batteries can complete these requirements. Nevertheless, parasitic reactions occurring at the LTO electrode/electrolyte interface, during cells cycling, are responsible for a significant gas production and the formation of a solid electrolyte interface (SEI), which highly impacts the batteries operation and performance. The SEI formed at the LTO electrodes, is of the order of a few nanometers thick. In fact, the work carried out involved the extreme surface sensitivity of three techniques suitable for the study of electrode/electrolyte interfaces and their interactions: X-ray Photoelectron Spectroscopy (XPS), scanning Auger microscopy (SAM) and time-of-flight secondary ion mass spectrometry (ToF-SIMS). The results, presented in this manuscript, thus come from the physicochemical study of electrode/electrolyte interfaces in lithium-ion cells consisting of a Li4Ti5O12 anode, their aging and their interactions. The positive electrodes used in this work, composed of oxides such as LiFePO4, LiNi3/5Mn1/5Co1/5O2 and LiMn2O4, are those usually incorporated into commercial systems. Various parameters that have an influence on the electrochemical performances of the accumulator and on the properties of the SEI (thickness, chemical composition, dissolution) and in particular that formed at the LTO electrode/electrolyte interface have therefore been studied. In particular, the nature of the positive electrode has been modified, the cycling temperature, the operating regimes and the high (4.6 V) and low (0.0 V) potential cut-off voltages have been varied as well as the composition of the electrolyte (on the one hand the lithium salt and on the other hand the solvent) and the composition of the LTO electrode
APA, Harvard, Vancouver, ISO, and other styles
40

YANG, YANG WENPING. "Etude par spectroscopie xps et electrochimie de la passivation et de la corrosion localisee d'un alliage fe-17%cr en presence de chlorures et de thiosulfates." Paris 6, 1993. http://www.theses.fr/1993PA066279.

Full text
Abstract:
L'objet de cette etude est d'etudier les films passifs formes sur un alliage fe-17%cr en milieu neutre et en milieu acide, en presence d'ions chlorures, en relation avec la resistance a la piquration. La premiere partie de ce travail est consacree a l'etude du vieillissement du film passif en milieu neutre (0,02 mnacl). La meilleure resistance a la piquration par le vieillissement du film passif est due a l'enrichissement en oxyde de chrome dans la partie interne du film. La deuxieme partie de ce travail concerne l'etude du film passif en milieu acide sulfurique (0,5 mh#2so#4) en absence ou en presence d'ions chlorures. Nous avons montre que la presence des ions chlorures en solution n'a pas d'influence sur la composition et l'epaisseur du film passif et que les ions chlorures sont presents majoritairement dans la partie externe du film, quel que soit le moment choisi pour introduire les ions chlorures dans la solution (avant ou apres la formation du film passif). La derniere partie de ce travail consiste en l'etude des effets des thiosulfates en milieu chlorure (0,02mnacl) sur la passivation et la piquration de l'alliage. Nous avons observe que l'influence nefaste des ions s#2o#3#2#- apparait aussi bien dans la mesure du potentiel de piquration que dans l'inhibition du caractere benefique du vieillissement du film passif. Cet effet nefaste est lie a la decomposition par reduction des ions s#2o#3#2#- en soufre adsorbe et/ou en sulfure sur la surface metallique de l'alliage, inhibant ainsi la repassivation
APA, Harvard, Vancouver, ISO, and other styles
41

ABED, AHMED EL, and Maurice Guérin. "Caractérisation physique de matériaux catalytiques : étude par EXAFS, DRX et XPS de Catalyseurs mono- et bimétalliques : Pt/Al2O3-y et Pt-M/Al2O3-y (M=Re, Sn)." Poitiers, 1993. http://www.theses.fr/1993POIT2315.

Full text
Abstract:
L'analyse du coefficient d'absorption aux seuils du platine, du rhenium et de l'etain, nous a permis d'avoir des renseignements precieux sur la nature des especes metalliques qui coexistent au sein de catalyseurs supportes mono ou bimetalliques etudies a faibles teneurs. Les etudes realisees ex-situ fournissent, generalement, des renseignements trop ponctuels pour comprendre completement le comportement des catalyseurs. L'existence d'appareillages, adaptes a l'exafs qui facilite l'etude des catalyseurs dans des conditions proches des realites industrielles, permet de rendre compte, en general, du processus dynamique qui s'etablit autour des elements metalliques platine et etain. Ainsi on a pu montre que la nature des especes mono- ou bimetalliques susceptibles de se former depend largement du milieu gazeux dans lequel on travaille et de la temperature. Dans le cas de pt/al#2o#3, lors de la reduction, il y a formation de particules tridimensionnelles a partir d'une phase proche de pto#2. A 400c, sous azote, il y a redispersion du platine certainement liee a la presence non negligeable du chlore sur le support. La connaissance du degre d'interaction entre metaux presents au sein des catalyseurs bimetalliques permet de comprendre certaines des proprietes particulieres de ces derniers. Ainsi pour les systemes pt-sn/al#2o#3, il y a reduction du platine en premier, ensuite celle de l'etain qui va former des alliages (especes inactives dans les reactions catalytiques etablies par ailleurs)
APA, Harvard, Vancouver, ISO, and other styles
42

Vallat, Rémi. "Dépôts sélectifs d'oxydes de Titane et de Tantale par ajout d'un plasma de gravure dans un procédé PEALD pour application aux mémoires résistives." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT073/document.

Full text
Abstract:
Depuis l’apparition du circuit intégré, la performance des dispositifs semi-conducteurs est reliée à leur miniaturisation via le développement de procédés spécifiques tels que la lithographie. Néanmoins, la réduction des dimensions des dispositifs aux échelles nanométriques rend les étapes de patterning de plus en plus complexes et coûteuses (EUV, gestion de plusieurs passes de masque par couche et erreur de placement du/des masque(s) …) et pousse les fabricants de puces à se tourner vers des méthodes alternatives. Dans le but de réduire les coûts de fabrication des circuits intégrés, une approche bottom-up reposant sur l’utilisation de procédés de dépôts sélectifs est désormais envisagée, au détriment des approches conventionnelles top-down basées sur les procédés de lithographie. La solution de dépôt par couche atomique (ALD) est une technique appropriée pour le développement d’un procédé sélectif en raison de sa très grande sensibilité à la chimie de surface. Ce procédé est appelé dépôt sélectif de zone (ASD pour Area Selective Deposition). Il est basé sur un traitement spécifique d'activation ou de désactivation des réactions chimiques de surface avec le précurseur et/ou le réactif en mode ALD. Ces modifications de réactivité peuvent être obtenues en utilisant une couche de germination (activation) ou des groupes organiques tels que des monocouches auto-assemblées (SAM) (désactivation). Une autre voie est de tirer parti du retard inhérent à la croissance (ou temps d’incubation) sur différents substrats. Dans cette thèse, nous avons développé un nouveau procédé ASD d’oxyde métallique en combinant un dépôt de couche atomique et une étape de gravure qui permet de bloquer la croissance sur substrat à base de silicium (Si, SiO2 et SiN) versus un substrat métallique (TiN). L'étape de gravure est réalisée par addition de NF3 dans un plasma d'oxygène tous les n cycles du procédé PEALD. Nous avons utilisé ce procédé pour le dépôt de deux oxydes actuellement à l'étude pour les applications de mémoires résistives non-volatiles : Ta2O5 et TiO2. Le but des dépôts sélectifs pour l'application mémoire est de réaliser des points mémoires localisés métal/isolant/métal en intégration 3D verticale dite VRRAM
At advanced nodes, lithography starts to dominate the wafer cost (EUV, managing multiple mask passes per layer and pattern placement error….). Therefore, complementary techniques are needed to continue extreme scaling and extend Moore’s law. Selective deposition and etching is one of them because they can be used to increase and enhance patterning capabilities at very low cost. From all the different deposition processes, Atomic Layer Deposition (ALD) is maybe the most suitable technique to develop a selective process due to its very good coverage property and its high surface sensitivity. This process is called Area Selective Deposition and is a selective deposition process for bottom-up construction It is usually based on a specific surface activation or deactivation treatment in order to activate or limit / inhibit chemical reactions with the ALD precursor / reactant. This surface modifications are usually obtained by using seed layer (activation) or organic groups such as Self-Assembled Monolayers (SAM) (deactivation). Another pathway for selective area deposition with ALD is to take advantage of the inherent substrate-dependent growth initiation: this is inherent selectivity based on difference of nucleation delay. In this thesis, we have proposed a new ASD process of thin oxide by combining atomic layer deposition and etching step (super-cycle) for a 3D Vertical RAM integration. This allows the selective growth of a thin oxide on a metal substrate without deposition on an insulator and/or a semi-conductor substrate(s). The etching step is achieved by NF3 addition in an oxygen plasma every n cycles of the PEALD process allowing (1) to etch the oxide layer on Si and/or SiO2 surface while keeping few nanometers of oxide on TiN substrate and (2) to passivate this two surfaces and to add a new incubation time on Si or SiO2 substrates. We used this process for the deposition of two oxides that are currently under study for non-volatile resistive memories applications: Ta2O5 and TiO2. The intention for memory application is to realize a crosspoint memory in Back-End level from a pattern area or a trench area without the photolithography step
APA, Harvard, Vancouver, ISO, and other styles
43

Petit-Boileau, Sophie. "Préparation de surface du PET avant métallisation : étude et comparaison des procédés laser excimère et plasma hors-équilibre." Paris 6, 2003. http://www.theses.fr/2003PA066564.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Aoukar, Manuela. "Dépôt de matériaux à changement de phase par PE-MOCVD à injection liquide pulsée pour des applications mémoires PCRAM." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT075/document.

Full text
Abstract:
Les mémoires résistives PCRAM sont basées sur le passage rapide et réversible entre un état amorphe hautement résistif et un état cristallin faiblement résistif d’un matériau à changement de phase (PCM). Ces mémoires constituent un des candidats les plus prometteurs pour la nouvelle génération de mémoires non-volatiles grâce à un large éventail de propriétés uniques comme une vitesse de fonctionnement élevée, une capacité de stockage multi-niveaux sur plusieurs bits, une bonne endurance et une possibilité de miniaturisation poussée. Cependant, la nécessité d’utiliser des courants d’effacement (IRESET) importants pour l’étape d’amorphisation du PCM représente l’un des principaux freins à l’explosion de la technologie PCRAM sur le marché des mémoires non volatiles. Dans ce contexte, il a été démontré que le confinement du PCM dans des structures possédant des facteurs de forme élevés permet d’améliorer l’efficacité du chauffage nécessaire au changement de phase du PCM et donc de réduire les courants d’amorphisation. Afin d’incorporer des matériaux PCM dans de telles structures, il est alors nécessaire de développer un procédé de dépôt très conforme. C’est pourquoi un procédé de dépôt PE-MOCVD (Plasma Enhanced- Metal Organic Chemical Vapor deposition) à injection liquide pulsée a été développé dans ce travail. Dans un premier temps des films amorphes et homogènes du composé binaire GeTe ont été déposés à partir des précurseurs organométalliques TDMAGe et DIPTe. Les analyses XPS révèlent que les couches de GeTe déposées sont stoechiométriques mais présentent une forte contamination en carbone. Ainsi, un des objectifs de cette thèse a été de réduire le taux de carbone dans les couches afin d’optimiser leurs propriétés de changement de phase. Une étude de l’impact des paramètres de dépôt tel que la puissance, la pression, la nature et le débit des gaz utilisés est alors présentée. En étudiant et en optimisant les paramètres de dépôt, des couches de GeTe contenant seulement 2 % at. de carbone ont pu être obtenues. Dans un second temps, des films du composé ternaire GeSbTe ont été déposés en injectant simultanément les trois précurseurs TDMAGe, TDMASb et DIPTe dans le plasma de dépôt. Une large gamme de composition peut alors être obtenue en variant les paramètres d’injection et de dépôt. L’un des principaux avantages de ce procédé est la capacité de couvrir une large gamme de compositions permettant d’obtenir des films possédant des propriétés de changement de phase très variées. L’impact des paramètres plasma sur la conformité du dépôt a aussi été étudié. Il est montré que l’ajout d’une composante BF à la puissance RF du plasma permet d’améliorer le remplissage des structures possédant des facteurs de forme élevés. Enfin, l’intégration dans des dispositifs mémoires PCRAM tests de matériaux PCM obtenus par ce procédé PE-MOCVD a mis en évidence des propriétés électriques proches de celles obtenues avec des matériaux déposés par les procédés de dépôt conventionnels de type PVD
Phase change random access memories PCRAM are based on the fast and reversible switch between the high resistive amorphous state and the low resistive crystalline state of a phase change material (PCM). These memories are considered to be one of the most promising candidates for the next generation of non volatile memories thanks to their unique set of features such as fast programming speed, multi-level storage capability, good endurance and high scalability. However, high power consumption during the RESET operation (IRESET) is the main challenge that PCRAM has to face in order to explode the non volatile memory market. In this context, it has been demonstrated that by integrating the phase change material (PCM) in high aspect ratio lithographic structures, the heating efficiency is improved leading to a reduced reset current. In order to fill such confined structures with the phase change material, a highly conformal deposition process is required. Therefore, a pulsed liquid injection Plasma Enhanced-Metal Organic Chemical Vapor Deposition process (PE-MOCVD) was developed in this work. First, amorphous and homogeneous GeTe films were deposited using the organometallic precursors TDMAGe and DIPTe as Ge and Te precursors. XPS measurements revealed a stoichiometric composition of GeTe but with high carbon contamination. Thus, one of the objectives of this work was to reduce the carbon contamination and to optimize the phase change properties of the deposited PCMs. The effect of deposition parameters such as plasma power, pressure and gas rate on the carbon contamination is then presented. By tuning and optimizing deposition parameters, GeTe films with carbon level as low at 2 at. % were obtained. Thereafter, homogeneous films of GeSbTe were deposited by injecting simultaneously the organometallic precursors TDMAGe, TDMASb and DiPTe in the plasma. A wide range of compositions was obtained by varying the injection and deposition operating parameters. Indeed, one of the main advantages of this process is the ability of varying films composition, which results in varying phase change characteristics of the deposited PCM. The impact of plasma parameters on the conformity of the process was also studied. It was shown that by adding a low frequency power component to the radio frequency power of the plasma, structures with high aspect ratio were successfully filled with the phase change material. Finally, electrical characterization of PCRAM test devices integrating phase change materials deposited by PE-MOCVD as active material have presented electrical properties similar to the ones obtained for materials deposited by conventional physical vapor deposition (PVD) process
APA, Harvard, Vancouver, ISO, and other styles
45

Herbin, Morgane. "Etude de l’influence de différents modes de synthèse sur la nature de la phase active de catalyseurs à base de molybdène : Caractérisation par couplage de spectroscopies XPS/LEIS/ToF-SIMS." Thesis, Lille 1, 2014. http://www.theses.fr/2014LIL10069/document.

Full text
Abstract:
Le couplage de techniques d’analyse de surface (XPS, LEIS et ToF-SIMS) a permis de caractériser la nature de la phase active sur des catalyseurs à base de Mo en fonction de différents modes de synthèse. Afin d’imiter le mode par voie chimique par imprégnation, des catalyseurs modèles ont été préparés par spin coating. En outre, une nouvelle voie physique, par pulvérisation magnétron, a été explorée pour la synthèse de catalyseurs. Des corrélations entre les données spectroscopiques XPS et LEIS sur les systèmes modèles permettent de déterminer le taux de recouvrement et l’épaisseur des espèces MoOx déposées. L’étude ToF-SIMS permet de confirmer la structure de la phase active : des entités monomériques à faible teneur et polymériques à plus haute teneur en Mo. Enfin, les performances catalytiques des différents systèmes pour l’oxydation ménagée du méthanol sont discutées au regard des caractérisations spectroscopiques. Ce travail a été réalisé dans le cadre du projet CATARR INTERREG IV (Materia Nova, Université de Mons et Université Lille1)
The coupling of surface analysis techniques (XPS, LEIS et ToF-SIMS) allowed to characterize the nature of the active phase on Mo-based catalysts according to different modes of synthesis. To imitate chemical means by impregnation mode, model catalysts we prepared by spin-coating. In addition, a new physical path, by magnetron sputtering, has been explored for the synthesis of catalysts. Correlations between spectroscopic data XPS and LEIS on model systems determine the recovery rate and the structure of the active phase : Mo low content monomeric and high content polymeric entities. Finally, the catalytic performances of the different catalytic systems for the controlled oxidation of methanol are discussed under spectroscopic characterizations. This work has been performed within INTERREG IV CATARR network (Materia Nova, Mons University and Lille1 University)
APA, Harvard, Vancouver, ISO, and other styles
46

Mane, Mane. "Adsorption des hydrocarbures insaturés (éthylène et propylène) sur la surface Pt(111) nue et en présence de coadsorbats (alcalins, oxygène, alcalins oxydés) : étude par AES, TDS, UPS, XPS et NEXAFS." Nancy 1, 1993. http://www.theses.fr/1993NAN10062.

Full text
Abstract:
Nous avons étudié l'influence de la température d'adsorption et des coadsorbats (atomes alcalins, oxygène atomique, alcalins oxydés) sur les modes d'adsorption des hydrocarbures éthyléniques (éthylène, propylène) sur Pt(111). Ces différents modes d'adsorption ont été identifiés sous ultravide par des méthodes spectroscopiques complémentaires (UPS, XPS, NEXAFS, TDS). L'étalonnage des quantités d'alcalins déposes a été obtenu par la spectrométrie des électrons Auger et les mesures de potentiel de sortie en UPS. Les oxydes de potassium ont été préparés et identifiés en utilisant la technique UPS et en comparant le nombre et les positions des orbitales moléculaires dans le régime de la sous-monocouche d'alcalin aux résultats en couches épaisses de la littérature et aux prédictions théoriques. Les résultats expérimentaux obtenus sont interprétés sur la base de modèles théoriques existants. Des conséquences probables de l'utilisation des oxydes d'alcalins comme promoteurs des catalyseurs utilises dans la synthèse catalytique des hydrocarbures ont été soulignées
APA, Harvard, Vancouver, ISO, and other styles
47

Beche, Eric. "Etude par spectrométries de photoémission (XPS) et d'électrons AUGER (AES) des environnements chimiques dans des films minces amorphes à base de silicium SiCx, SiNx, SiOx, SiCxNy, SiOxNy hydrogénés ou non." Besançon, 1996. http://www.theses.fr/1996BESA2057.

Full text
Abstract:
Le but de ce travail était d'étudier par spectrométries de photoélectrons (X. P. S. ) et d'électrons Auger (A. E. S. ) des couches minces amorphes à base de silicium (hydrogénées ou non) élaborées par C. V. D. Ou P. V. D. : SiCx, SiNx , SiOx, SiCxNy, SiOxNy. L'ordre local autour des atomes de silicium ou de carbone a été déterminé d'une part en observant les transitions Auger Si KLL, Si LVV et C KVV et d'autre part en décomposant les pics de photoélectrons Si 2p et C 1s. Les différentes composantes extraites de la décomposition des pics Si 2p ont été attribuées à des environnements tétraédriques variés du silicium. Les distributions expérimentales de chaque composante ont été comparées aux distributions théoriques calculées à partir de deux modèles structuraux décrivant des phases amorphes à base de silicium : le " Random Bonding Model (R. B. M. ) " et le " Random Mixture Model (R. M. M. ) ". Pour les films SiCx :H, les environnements chimiques du silicium et du carbone changent en fonction de la température de dépôt. Concernant les films SiNx :H et SiOxNy :H, nos résultats indiquent que la microstructure des couches peut être décrite selon le modèle d'arrangements aléatoires (R. B. M. ). Dans les films SiCxNy :H riches en azote, des liaisons C-N ont été mises en évidence. L'étude X. P. S. Des transitions SiKLL a révélé l'existence d'environnements variés du silicium lorsque le rapport x/y varie. Concernant les couches SiOx déposées par P. V. D. , l'étude des décompositions des pics de photoélectrons Si 2p révèle une différence notable entre les distributions des environnements chimiques du silicium expérimentales et théoriques calculées à partir du modèle d'arrangements aléatoires. Ce travail est une contribution à l'étude de la structure des films amorphes à base de silicium hydrogénés ou non
The aim of this work was the study by X. P. S. And A. E. S. Of C. V. D. Or P. V. D. Silicon based amorphous thin films : SiCx, SiNx , SiOx, SiCxNy, SiOxNy in some cases hydrogenated which were deposited on low temperatures substrates. The local orders around silicon or carbon atoms were investigated by the examination of Si KLL, Si LVV and C KVV line shapes and the decomposition of core level photoelectron peaks Si 2p and C 1s. The components extracted from the decomposition of the Si 2p peaks were attributed to various tetrahedral environments of silicon and their distribution were compared to the distributions calculated from two models describing the silicon amorphous phases : The Random Bonding Model (R. B. M. ) and the Random Mixture Model (R. M. M. ). For the SiCx:H films, we have shown that the chemical environments of Si and C change with the substrate temperature. For the SiNx:H and SiOxNy:H films, our results show that the microstructure can be described by the Random Bonding Model. We have shown that C-N bonds might be present in nitrogen rich SiCxNy:H films. In these films, X. P. S. Results (Si KLL line shapes) revealed the presence of various environments of silicon. For SiOxP. V. D. Deposits, our results show a significant difference between the distribution of the chemical environments of silicon deduced from Si 2p decomposition and those calculated from the Random Bonding Model (R. B. M. ). This work is a contribution for a global approach of the amorphous structure of silicon based compounds
APA, Harvard, Vancouver, ISO, and other styles
48

Drault, Fabien. "Développement de catalyseurs à base de métaux de transition non nobles en remplacement du platine pour des réactions d'hydrogénation." Thesis, Poitiers, 2018. http://www.theses.fr/2018POIT2292/document.

Full text
Abstract:
L’utilisation des métaux nobles en catalyse hétérogène est limitée par la rareté de ces métaux, leur coût et les difficultés d’approvisionnement, le marché mondial étant régi par deux producteurs. Le but de ce travail a consisté à étudier l’association du platine et du cobalt afin de substituer en partie Pt par Co tout en préservant les propriétés catalytiques du métal noble en hydrogénation. Différentes synthèses de catalyseurs bimétalliques 1%Pt-5%Co supportés (coimprégnation, voie redox et voie colloïdale) ont été réalisées et les performances de ces catalyseurs comparées à celles des catalyseurs monométalliques et des mélanges mécaniques (Pt + Co) pour deux réactions d’hydrogénation d’intérêt industriel : l’hydrogénation de l’acétonitrile et celle du furfural. Les caractérisations par des techniques physicochimiques (MET, XPS, …) ou par réactions modèles (déshydrogénation du cyclohexane, hydrogénolyse du méthylcyclopentane) ont permis d’obtenir les résultats suivants : - la présence de Pt augmente la réductibilité du Co pour les catalyseurs coimprégnés ou pour les mélanges mécaniques, ce qui permet d’améliorer les performances catalytiques en hydrogénation du furfural ou de l’acétonitrile ;- la préparation par voie colloïdale oriente vers la formation de particules PtCo de type alliage de composition homogène, peu actives pour les réactions étudiées ;- la synthèse par voie redox permet de déposer précisément le platine au contact du cobalt créant un effet synergétique bénéfique. Il est ainsi possible d’obtenir la même activité que le platine seul en hydrogénation de l’acétonitrile mais avec un catalyseur PtCo présentant une quantité de Pt cinq fois moins importante
The use of noble metals in heterogeneous catalysis is limited by the scarcity of these metals, their cost and the supply difficulties due to the monopole of only two countries on the world market. The aim of this work consisted to study the association of platinum and cobalt in order to substitute partly Pt with Co while preserving the catalytic performances of the noble metal in hydrogenation. Various syntheses of 1%Pt- 5%Co supported bimetallic catalysts have been achieved and their performances have been compared with those of monometallic catalysts as well as (Pt + Co) mechanical mixtures for two hydrogenation’s reactions of industrial interest: the hydrogenation of acetonitrile and that of furfural. The physicochemical characterizations carried out (TEM, XPS …) and the model reactions (dehydrogenation of cyclohexane, hydrogenolysis of methylcyclopentane) studied have pointed out several results: - the presence of Pt increases the reducibility of Co for co-impregnated catalysts and mechanical mixtures leading to an enhancement of the catalytic performances in hydrogenation of acetonitrile or furfural; - the colloidal preparation favors the formation of PtCo alloy particles with a homogeneous composition, which are not very active for the reactions studied; - the redox route synthesis can accurately deposit Pt in contact with Co creating an improvement of the catalytic performances by a synergistic effect. Thus, in the hydrogenation of acetonitrile, the same activity was obtained by using a Pt-Co catalyst containing five times less noble metal’s content than the 1% Pt catalysts
APA, Harvard, Vancouver, ISO, and other styles
49

Benrabah, Sabria. "Passivation des matériaux III-N de type GaN." Thesis, Lyon, 2021. http://www.theses.fr/2021LYSE1310.

Full text
Abstract:
Pour répondre aux demandes de développement de nouveaux produits dans les domaines des convertisseurs électroniques de puissance pour les voitures électriques, des panneaux solaires, des éoliennes et des nouvelles technologies d'éclairage à base de LED ou de composants RF, la recherche s'est concentrée sur les matériaux à large bande interdite directe, dont le nitrure de gallium (GaN). Le GaN a suscité un grand intérêt en raison de ses propriétés exceptionnelles pour les dispositifs électroniques de puissance de la prochaine génération. Avec une vitesse de saturation élevée et une tension de fonctionnement élevée, les dispositifs à base de GaN peuvent fonctionner à haute fréquence et avec un excellent rendement, ce qui fait du GaN un matériau de choix dans les applications de puissance. Cependant, le développement des matériaux III-N est encore immature, notamment en ce qui concerne le contrôle de la qualité des différentes interfaces au sein des dispositifs. La présence d'une forte densité d'états d'interfaces peut être à l'origine de dysfonctionnements du dispositif. Par conséquent, la compréhension et le contrôle de la surface du GaN constituent un défi pour une éventuelle intégration industrielle future. Aujourd'hui, il n'existe pas de préparation de surface standard appropriée et efficace pour le GaN. Afin d'étudier ce problème, ce projet de thèse a été réalisé dans le cadre d'une collaboration entre le CEA-LETI (Grenoble), le LTM (Grenoble) et les laboratoires CP2M (Catalyse, Polymérisation, Procédés et Matériaux, Lyon). Les principaux objectifs de ce projet sont, d'une part, de comprendre la chimie de surface suite à différentes préparations de surface, et d'autre part, de mettre en place la configuration des liaisons de surface. Ce projet de thèse s'est donc concentré sur la préparation et la caractérisation de l'extrême surface de GaN après divers traitements chimiques et physiques
To meet demands for the development of new products in the fields of power electronic convertors for electric cars, solar panels, wind turbines, and new LED-based lightening technologies or RF components, research has focused on direct wide bandgap materials, including Gallium Nitride (GaN). GaN has attracted significant interest due to its exceptional properties for next-generation power electronic devices. With a high saturation velocity and a high operating voltage, GaN-based devices can operate at high frequency and with excellent efficiency, making GaN a material of choice in power applications. However, the development of III-N materials is still immature, especially in terms of quality control of the various interfaces within the devices. The presence of high density of interfaces states can be the cause of device malfunctions. Therefore, understanding and controlling the surface of GaN is a challenge for possible future industrial integration. Today, there is no suitable and effective standard surface preparation of GaN. In order to investigate this problem, this PhD project was carried out in a collaboration between CEA-LETI (Grenoble), LTM (Grenoble) and CP2M laboratories (Catalysis, Polymerisation, Process and Materials, Lyon). The main objectives of this project are, first, to understand the surface chemistry following various surface preparations, and second, to set up the configuration of surface bonds. Therefore, this PhD project focused on the preparation and characterisation of the extreme surface of GaN after various chemical and physical treatments
APA, Harvard, Vancouver, ISO, and other styles
50

Quennoy, Anne. "Comportement de semiconducteurs III-V (GaAs,Inp) en présence d'un hétéropolyanion à structure de Keggin (SiMo12O40)4- : étude en circuit ouvert et sous polarisation." Paris 7, 2002. http://www.theses.fr/2002PA077158.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography