Dissertations / Theses on the topic 'Nanoimprint lithography'

To see the other types of publications on this topic, follow the link: Nanoimprint lithography.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Nanoimprint lithography.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Hauser, Hubert [Verfasser], and Holger [Akademischer Betreuer] Reinecke. "Nanoimprint lithography for solar cell texturisation = Nanoimprint Lithographie fuer die Solarzellentexturierung." Freiburg : Universität, 2013. http://d-nb.info/1123476160/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Hubbard, Graham John. "Nanoimprint lithography using disposable masters." Thesis, University of Bath, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.576992.

Full text
Abstract:
A novel imprint process, called Disposable Master Technology has been developed using disposable masters replicated from nickel masters using roll-to-roll printing. The disposable masters consist of a polyester terephthalate film coated with a photosensitive resin containing the inverse structure of the nickel master. The use of hydrophobic and oleophobic additives was found to improve release after imprinting. This has enabled structures of deeply submicron periodicity to be imprinted on silicon wafers up to 4" diameter with good reproducibility. Resist systems have been developed based on urethane acrylates plus a resist based on Oxetanyl Silsesquioxane which contains silicon for improved etch resistance, useful when transferring the imprinted structures into the substrate by reactive ion etching. The addition of fluorinated acrylates has been shown to improve the substrate coverage during spin coating and to ease disposable master release after imprinting. Silicone acrylate, used as an additive was found to improve the etch resistivity as well as also easing disposable master release. The generation of disposable masters from anodic porous alumina has been investigated. Aluminium sample pre-treatment has been optimized for 2 inch diameter aluminium discs to produce 100 nm and 200 nm spaced pores of 180 to 500 nm depth with conical or cylindrical shape. The self-ordered porous alumina has beef! replicated on to PET film creating polymer nanopillars of down to 50 nm in diameter. The resulting nanostructured polymer films can act as anti-reflection coatings. The angle dependent transmission of polymer films has been found to increase transmission by up to 2% at a normal angle of incidence and by 5% at 70Q, when compared to a control sample. Highly ordered mono-domain porous alumina templates were also demonstrated by pre- texturing the aluminium surface using disposable master technology, to provide another method of fabricating master moulds for disposable master technology
APA, Harvard, Vancouver, ISO, and other styles
3

Zheng, Zijian. "Soft lithography and nanoimprint lithography for applications in polymer electronics." Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613415.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

He, X. "Nanoimprint lithography for applications in photovoltaic devices." Thesis, University of Cambridge, 2010. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.603915.

Full text
Abstract:
This thesis describes efforts to achieve an idealized architecture and to characterize the transport in polymer-based PV devices, by employing novel nanoimprint techniques. First, a novel double-imprinting process is described, which allows the fabrication of ideally structured “polymer-polymer” and “polymer-small molecule” heterojunctions, with any composition. The dimensions of both phases can be independently tailored to match the respective exciton diffusion length in either phase PV devices with extremely high densities (up to 1014/mm2) of interpenetrating nanoscale columnar features, as small as 25 nm in the active polymer blend layer, were fabricated and showed considerable improvement over the traditional blend devices. It is believed that this work advances the state of the art in polymeric organic electronic devices. Second, a non-conventional nanopatterning technique has been developed and used to fabricate well-aligned vertical ZnO nanowire arrays. This demonstrates the potential for this approach to serve as a nanostructured metal oxide scaffold for “polymer-metal oxide” hybrid PVs, as well as other nanoscaled (opto)electronic devices, due to its attractive electromechanical properties.
APA, Harvard, Vancouver, ISO, and other styles
5

Colburn, Matthew Earl. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithography /." Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3025205.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Fernández, Estévez Ariadna. "Functional surfaces by means of nanoimprint lithography techniques." Doctoral thesis, Universitat Autònoma de Barcelona, 2016. http://hdl.handle.net/10803/400142.

Full text
Abstract:
Diferentes funcionalidades pueden ser obtenidas en diversas superficies a través de topografía en lugar de química, inspirándose en la naturaleza. El principal objetivo de esta tesis es la investigación de la litografía de nanoimpresión (NIL) como una técnica de fabricación factible para modificar superficies y así alterar sus propiedades físicas, utilizándolas para aplicaciones superhidrofóbicas y oleofóbicas. A lo largo de esta tesis una técnica derivada de la nanoimpresión, capaz de imprimir con cero capa residual, fue desarrollada. Esta novedosa técnica de impresión se puede adaptar para crear patrones sobre superficies con distintas formas, permitiéndonos realizar estructuras jerárquicas en tres dimensiones (3D) con diferentes combinaciones de micro y nanoestructuras. Demostramos que las técnicas de fabricación desarrolladas en esta tesis son adaptables a los procesos industriales de facturación, permitiendo así su aplicación en el desarrollo de superficies funcionales. Las estructuras tridimensionales producidas en esta tesis fueron realizadas usando métodos de replicación industrial, tales como electrodeposición o moldeo por inyección. Además, varios materiales fueron investigados en los que estas estructuras en 3D se pudieron reproducir. Nuestro proceso nos permite producir superficies superhidrofóbicas de una forma controlada, abriendo el camino hacia la producción industrial de superficies plásticas funcionales. En nuestros experimentos conseguimos un ángulo de contacto de 170 o con una histéresis de 4 o sin la necesidad de ningún tratamiento químico adicional. Los efectos dinámicos fueron medidos en estas superficies, obteniendo excelentes propiedades autolimpiables, así como una buena robustez antes impacto de gotas. El preciso control sobre nuestro proceso de fabricación nos permitió realizar superficies híbridas con propiedades de mojado inducidas. Se realizaron superficies jerárquicas que resultaron en una doble funcionalidad. Concretamente, nuestras estructuras presentaron tanto el estado de “lotus” como el de “petal” cuando se cambiaron las condiciones de deposición de las gotas, sin ninguna necesidad de modificar la superficie. La gran diferencia entre las dos presiones capilares ejercidas por las micro y nanoestructuras fue el factor que nos permitió controlar la adhesión de dichas gotas. A pesar de la percepción de que la litografía de nanoimpresión no es adecuada para imprimir superficies que puedan sobresalir (“overhanging”), en esta tesis probamos que a través de litografía de nanoimpresión asistida por ultravioleta podemos realizar estructuras de tipo “seta”. Estas structuras fueron fabricadas a través de un novedoso proceso de electrodeposición, consistente en un único paso. Estas estructures fueron replicadas en una resina comercial que, en combinación con un post-tratamiento químico, exhiben propiedades amfifóbicas (repeliendo tanto agua como aceites). Se realizó un análisis de las características de mojado de estas estructures mediante el uso de líquidos que poseyeran diferentes energías superficiales. La energía superficial crítica para conseguir la oleofobicidad fue demostrada experimentalmente.
Different surface functionalities can be achieved by means of topography instead of chemistry, based on inspirations from nature. The main objective of this thesis is the investigation of Nanoimprint Lithography (NIL) as a feasible fabrication technique to modify both organic and inorganic surfaces to alter their physical properties and utilize them for superhydrophobic and oleophobic applications. During this thesis a modified nanoimprint technique, capable of imprinting with zero residual layer was developed. This novel imprint based technique is adaptable to pattern over free form surfaces, allowing us to realize tailored three dimensional (3D) hierarchical micro and nanostructured surfaces. We demonstrate that the fabrication techniques developed in this thesis, are adaptable to industrial manufacturing process, allowing their application on the development of functional surfaces. The produced 3D hierarchical surfaces were realized using fully industrial replication methods such as electroplating and injection molding techniques. Moreover, various materials have been tested into which the 3D hierarchical structured were replicated. Our manufacturing approach allowed us to reproduce our superhydrophobic surfaces in a controlled manner opening the path to high volume manufacturing of functional plastic components and surfaces. Within our experimental findings we achieved a static contact angle value of 170 o with a hysteresis of 4 o without the need of any additional chemical treatment. Dynamic effects were measured on the produced surfaces, obtaining remarkable self-cleaning properties, as well as excellent robustness over impacting droplets. The precise control of the developed fabrication technique allowed us to realize hybrid hierarchical patterned surfaces with tunable wetting properties. Hierarchical surfaces were realized resulting in a dual state functionality. In particular, our structured surfaces exhibit both “lotus” and “petal” effect when varying the deposition conditions of the water droplets, without the need of any modification of the surface. The great difference between the capillary pressures exerted by the micro and nanostructures resulted in a tailored adhesion of the water droplets. The low capillary pressure induced by the microstructures and the high capillary pressure observed by the nanostructures, allowed to achieve a controlled dynamic effect, enabling different wetting states on the same hybrid surface. Despite the perception that NIL is not suitable for direct imprinting surfaces which contain overhanging structures, within this thesis we prove that ultraviolet light assisted nanoimprint lithography (UV-NIL) is a suitable technique to realize mushroom-like structures. These 3D structures, which contained overhanging features, were fabricated by a novel one-step up-plating process. The structures were successfully replicated in a commercial UV curable resist material, that, in combination with a chemical post treatment, exhibited amphiphobic (both hydrophobicity and oleophobicity) properties. Wetting analysis of the produced 3D surface was performed using a variety of liquids possessing different surface tensions. The critical surface tension for achieving oleophobicity was established experimentally.
APA, Harvard, Vancouver, ISO, and other styles
7

Mohamed, Khairudin. "Three-Dimensional Patterning Using Ultraviolet Curable Nanoimprint Lithography." Thesis, University of Canterbury. Electrical and Computer Engineering, 2009. http://hdl.handle.net/10092/3049.

Full text
Abstract:
Although a large number of works on nanoimprint lithography (NIL) techniques have been reported, the the ability for three-dimensional (3-D) patterning using NIL has not been fully addressed in terms of the mold fabrication and imprint processes. Patterning 3-D and multilevel features are important because they eliminate multiple steps and complex interlevel alignments in the nanofabrication process. The 3-D and multilevel mold design and fabrication, and imprint processes have been studied and investigated in this research work. In the UV-NIL technique, a transparent mold with micro/nanostructure patterns on its surface is allowed to be replicated on UV curable polymer without the need of high applied pressure or temperature. UV-NIL has the potential to fabricate micro/nanostructures with high resolution, high reproducibility, low cost, high throughput and is capable of 3-D patterning. This research focuses on two aspects; the development of mold making and imprint processes. In the process of making a master mold, an EBL technique was employed for writing patterns on e-beam resists. PMMA positive resist was used for 2-D patterning and ma-N2403 negative resist from Microresist Technology was used for 3-D patterning. After being developed, the 3-D mold pattern was transferred onto quartz substrate using a single-step reactive ion etching (RIE) technique. A number of challenging issues such as surface charging, electron scattering and proximity effects surfaced during the EBL pattern writing on insulating and transparent molds. A number of new approaches have been developed for suppressing the charging effects in the 2-D and 3-D patterning. Using thin metallic coating on the quartz substrates or on top of the resist, or conductive polymer coating using PEDOT/PSS on top of the resist has demonstrated excellent results in a 2-D structure with a high aspect-ratio of 1:10 and feature sizes down to 60 nm. In 3-D patterning, two approaches have been followed; the critical energy method and/or a top coating of conductive polymer (PEDOT/PSS) layer. Isolated 3-D structures with feature sizes down to 500 nm were successfully fabricated using the first method while by using the second method, dense 3-D structures patterns with feature sizes down to 300 nm, on 400 nm pitch have been demonstrated. In UV-NIL, the surface roughness Rq(rms) should be less than 5 nm, which is important for replicating optical structures and devices. In this work, the RIE process been optimized to yield 2 nm roughness on a patterned quartz surface. This was achieved by optimizing the RIE process pressure of below 6 mTorr. The other part of this thesis is on replication or imprinting of 2-D and 3-D structures. In the process of replicating the master mold profiles, the imprint processes were carried out using a vacuum operated manual imprint tool which was attached to a Mask Aligner UV illumination system. In 2-D imprinting, resist sticking on the vertical side wall was the main issue, especially on high aspect ratio structures. Meanwhile in 3-D imprinting, the imprint results have shown good reproducibility in up to 15 imprint cycles, where the issue of Ormocomp soft/daughter mold cracking after long UV exposure had limited the repetition of the imprint cycles. In this thesis, the 2-D and 3-D resist patterning on insulating substrates using the EBL technique have been demonstrated with the assistance of a number of developed charge suppression methods. Single-step RIE pattern transfer onto quartz substrates with surface roughness below 5nm has been achieved. Replication of 3-D and multilevel structures reliably make the UV-NIL technique suitable for future applications such as surface texturing, optical devices and many other complex structures including MEMS.
APA, Harvard, Vancouver, ISO, and other styles
8

Lin, Yu-Wei. "Fabrication of Metallic Antenna Arrays using Nanoimprint Lithography." Master's thesis, University of Central Florida, 2013. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/5979.

Full text
Abstract:
This Thesis describes the development of a cost-effective process for patterning nanoscale metal antenna arrays. Soft ultraviolet (UV) Nanoimprint Lithography (NIL) into bilayer resist was chosen since it enables repeatable large-scale replication of nanoscale patterns with good lift-off properties using a simple low-cost process. Nanofabrication often involves the use of Electron Beam Lithography (EBL) which enables the definition of nanoscale patterns on small sample regions, typically < 1 mm2. However its sequential nature makes the large scale production of nanostructured substrates using EBL cost-prohibitive. NIL is a pattern replication method that can reproduce nanoscale patterns in a parallel fashion, allowing the low-cost and rapid production of a large number of nano-patterned samples based on a single nanostructured master mold. Standard NIL replicates patterns by pressing a nanostructured hard mold into a soft resist layer on a substrate resulting in exposed substrate regions, followed by an optional Reactive Ion Etching (RIE) step and the subsequent deposition of e.g. metal onto the exposed substrate area. However, non-vertical sidewalls of the features in the resist layer resulting from an imperfect hard mold, from reflow of the resist layer, or from isotropic etching in the RIE step may cause imperfect lift-off. To overcome this problem, a bilayer resist method can be used. Using stacked resist layers with different etch rates, undercut structures can be obtained after the RIE step, allowing for easy lift-off even when using a mold with non-vertical sidewalls. Experiments were carried out using a nanostructured negative SiO2 master mold. Various material combinations and processing methods were explored. The negative master mold was transferred to a positive soft mold, leaving the original master mold unaltered. The soft mold consisted of a 5 ?m thick top Poly(methyl methacrylate) (PMMA), or Polyvinyl alcohol (PVA) layer, a 1.5 mm thick Polydimethylsiloxane (PDMS) buffer layer, and a glass supporting substrate. The soft mold was pressed into a bilayer of 300 nm PMMA and 350 nm of silicon based UV-curable resist that was spin-coated onto a glass slide, and cured using UV radiation. The imprinted patterns were etched using RIE, exposing the substrate, followed by metal deposition and lift-off. The experiments show that the use of soft molds enables successful pattern transfer even in the presence of small dust particles between the mold and the resist layer. Feature sizes down to 280 nm were replicated successfully.
M.S.
Masters
Optics and Photonics
Optics and Photonics
Optics; International
APA, Harvard, Vancouver, ISO, and other styles
9

Maury, Pascale Anne. "Fabrication of nanoparticle and protein nanostructures using nanoimprint lithography." Enschede : University of Twente [Host], 2007. http://doc.utwente.nl/57701.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

GoGwilt, Cai P. (Cai Peter). "The effects of feature geometry on simulating nanoimprint lithography." Thesis, Massachusetts Institute of Technology, 2011. http://hdl.handle.net/1721.1/66419.

Full text
Abstract:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2011.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 67-68).
Nanoimprint lithography (NIL) is a method for fabricating nano-scale patterns by pressing stamps into viscous materials. A key barrier to industry adoption of NIL is the inability to predict whether a stamp will imprint successfully and how long the process should be run for. In this thesis, we help quantify the accuracy loss for an existing simulation package, simprint, which supports geometric abstractions and can simulate at the die level. To do this, we develop and study several comparison metrics. Our temporal submetric quantifies the error between two simulations at each timestep, while our spatial submetric quantifies the error at each spatial location. We subsequently use these metrics to study pattern abstraction by looking at how different types of patterns lead to different errors. This would allow us to suggest pattern abstractions that could improve the accuracy of a simulation. However, none of the features we study correlate with error. We conclude by exploring other possible uses of our metrics.
by Cai P. GoGwilt.
M.Eng.
APA, Harvard, Vancouver, ISO, and other styles
11

Zankovych, Sergiy. "Nanoimprint lithography as an alternative fabrication technique: towards applications in optics." [S.l.] : [s.n.], 2004. http://deposit.ddb.de/cgi-bin/dokserv?idn=973072911.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Choi, Jinsub. "Fabrication of monodomain porous alumina using nanoimprint lithography and its applications." [S.l. : s.n.], 2004. http://deposit.ddb.de/cgi-bin/dokserv?idn=970954050.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Wang, Junxin. "Nanoimprint Fabrication of Wire-grid Polarizers Using Deep-UV Interference Lithography." University of Dayton / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=dayton1406913848.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Anokhina, Ksenia. "Investigation of Metal-assisted Si Etching for Fabrication of Nanoimprint Lithography Stamps." Thesis, Högskolan i Halmstad, Sektionen för Informationsvetenskap, Data– och Elektroteknik (IDE), 2010. http://urn.kb.se/resolve?urn=urn:nbn:se:hh:diva-14459.

Full text
Abstract:
This diploma thesis deals with the investigation of the metal-assisted catalytic etching (MaCE) of Si. One of the main goals is to study fabrication of stamps for nanoimprint lithography using MaCE. Formation of nanoporous silicon (PSi), Si nanowires (SiNWs) and three-dimensional nanostructures in Si by MaCE is demonstrated. For this purpose optical lithography, electron beam lithography (EBL), shadow mask evaporation and aerosol nanoparticles deposition techniques have been utilized. The etching rate and surface morphology of Si (with Au lift-off films as a catalyst) as functions of time and concentrations of chemicals are measured in the current diploma work using optical microscope and scanning electron microscopy (SEM). In the current thesis it is shown that Si structures with sub-150 nm lateral sizes, high aspect ratio (up to 1:21), well-defined shapes, and various complexity can easily be fabricated by means of MaCE process.
APA, Harvard, Vancouver, ISO, and other styles
15

Koo, Namil [Verfasser]. "Ultraviolet nanoimprint lithography using flexible molds: Process development and applications / Namil Koo." München : Verlag Dr. Hut, 2012. http://d-nb.info/1021073148/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Chen, Jing. "Soft UV nanoimprint lithography : a versatile technique for the fabrication of plasmonic biosensors." Phd thesis, Université Paris Sud - Paris XI, 2011. http://tel.archives-ouvertes.fr/tel-00591992.

Full text
Abstract:
During the last decade, surface plasmon resonance (SPR) has become widely used to characterize a biological surface and to characterize binding events in the fields of chemistry and biochemistry. Research in this field has been favoured by the tremendous growth in nanofabrication methods among which soft lithographies are alternatively emerging. The purpose of this thesis work was to develop soft UV nanoimprint lithography, an emerging flexible technology allowing patterning on large area of subwavelength photonic nanostructures. The main advantages offered by soft UV nanoimprint lithography concern the simple patterning procedure and the low cost of the experimental setup (see state-of-art presented in chapter 1). Chapters 2 and 3 present the fabrication of master stamps, the study of nanoimprinting parameters coupled with the optimization of the etching process in order to get metallic nanostructures with limited pattern defects. The physical mechanisms of the transmission phenomenon exalted by surface plasmons were studied based on arrays of imprinted gold nanoholes (chapter 4). Extraordinary light transmission has been experimentally demonstrated. The geometrical effects on the position transmission peak were systematically analyzed. Proof-of-concept measurements performed in simple fluidic device indicate a response to small changes in refractive index in the surface vicinity. Finally, chapter 5 proposes a novel design for the optical sensor which is based on "nanocavities" exhibiting coupled localized plasmons. This LSPR sensor offers an improvement of one order of magnitude of the Figure of Merit compared to classical LSPR sensors. The resonance properties of these innovative nanocavities have been studied from numerical simulations and discussed based on their geometrical dependence. Since this system has demonstrated higher sensitivity for detection of biomolecules, it is thus fully adapted to study immunochemical binding interactions.
APA, Harvard, Vancouver, ISO, and other styles
17

Stuart, Colin Tai Chen. "Fabrication of three-dimensional organic crossbar circuits by nanoimprint lithography and nanotransfer printing." Diss., Restricted to subscribing institutions, 2009. http://proquest.umi.com/pqdweb?did=2026649981&sid=1&Fmt=2&clientId=1564&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Fehrman, Cory Emily Marie. "Fabrication of a Deoxyribonucleic Acid Polymer Ridge Waveguide Electro-Optic Modulator by Nanoimprint Lithography." University of Dayton / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=dayton1398419640.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Supreeti, Shraddha [Verfasser], Stefan [Akademischer Betreuer] Sinzinger, Martin [Akademischer Betreuer] Hoffmann, and Steffen [Akademischer Betreuer] Strehle. "Soft nanoimprint lithography on curved surfaces / Shraddha Supreeti ; Stefan Sinzinger, Martin Hoffmann, Steffen Strehle." Ilmenau : TU Ilmenau, 2021. http://d-nb.info/1239051182/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Konijn, Mark. "Multilevel Nanoengineering for Imprint Lithography." Thesis, University of Canterbury. Electrical and Computer Engineering, 2005. http://hdl.handle.net/10092/1071.

Full text
Abstract:
The current trend in pushing photo lithography to smaller and smaller resolutions is becoming increasingly difficult and expensive. Extreme ultra-violet lithography is an alternate method that has the potential to provide feature sizes down to 30 nm, however, it will come at an even greater cost. Nanoimprint lithography (NIL) is another lithographic technique which is promising to provide very high resolutions at a relatively low cost. Imprinting works by using a mold with a surface patterned with the required nano structures and pressing it into a substrate coated with a deformable polymer. Due to its direct pattern replication technique, it is very capable of reproducing three-dimensional structures, however limited research has been performed on this to date. In this study, investigations have been performed into developing a reliable process for creating SiN molds with sub-100 nm structures with variable height control. The process relies on a negative tone electron beam resist which can be patterned to various thicknesses by varying the exposure dosage. This allows for the creation of complex multi-layer structures in a single electron beam lithography step. These patterns then have been transferred into the SiN substrate by a single reactive ion etch. From here the mold is ready for use in imprinting. Study has also been performed into imprinting process as well. This includes the development of an imprint press, the manner in which NIL works. Investigations have been performed into the imprinting performance of 3D molds. Thermal expansion issues have been found and addressed, as have adhesion problems. Some other aspects of 3D NIL which have not been addressed in this study have been outlined in future work for further investigation.
APA, Harvard, Vancouver, ISO, and other styles
21

Probst, Christian [Verfasser], and Hans-Werner [Akademischer Betreuer] Schmidt. "Azobenzene-Functionalized Materials for Holographic Applications and Nanoimprint Lithography / Christian Probst ; Betreuer: Hans-Werner Schmidt." Bayreuth : Universität Bayreuth, 2016. http://d-nb.info/1126021814/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Golze, Spencer. "Combining Nanoimprint Lithography with Dynamic Templating for the Fabrication of Dense, Large-Area Nanoparticle Arrays." Master's thesis, Temple University Libraries, 2016. http://cdm16002.contentdm.oclc.org/cdm/ref/collection/p245801coll10/id/369925.

Full text
Abstract:
Mechanical Engineering
M.S.
The study of nanomaterials is a developing science with potentially large benefits in the development of catalysts, optical and chemical sensors, and solid state memory devices. As several of these devices require large arrays of nanoparticles, one of the greatest obstacles in material characterization and device development is the reliable manufacture of nanopatterns over a large surface area. In addition, various applications require different nanoparticle size and density. High density arrays with small nanoparticle sizes are difficult to achieve over a large surface area using current manufacturing processes. Herein, Nanoimprint Lithography (NIL) and Dynamic Templating are combined to create a new manufacturing process capable of developing high density arrays with small nanoparticle sizes. The NIL process involves the stamping of a polymer coated substrate by a silicon stamp with patterned nanofeatures. The stamp is then removed, leaving the pattern in the polymer, which is first etched and then coated with a thin layer of metal, filling the recessed regions of the pattern. The excess polymer is dissolved, leaving a pattern of nanoparticles on the substrate matching the pattern on the stamp. When Dynamic Templating is applied, a very thin layer of metal can be coated, which forms small nanoparticle sizes when dewetted. A custom NIL system has been developed to combine these two processes together, which has now proven to yield consistent large-area, dense arrays with a small nanoparticle size. An array spacing of 700 nm has been achieved, along with a nanoparticle size of 90 nm. Arrays have been created in gold and palladium, where there is now the potential to combine them with other solution-based syntheses which should lead to complex nanoparticle geometries suitable for sensor applications.
Temple University--Theses
APA, Harvard, Vancouver, ISO, and other styles
23

Yang, Sheng-Chieh, Ji-Ling Hou, Andreas Finn, Amit Kumar, Yang Ge, and Wolf-Joachim Fischer. "Synthesis of multifunctional plasmonic nanopillar array using soft thermal nanoimprint lithography for highly sensitive refractive index sensing." Royal Society of Chemistry, 2015. https://tud.qucosa.de/id/qucosa%3A36330.

Full text
Abstract:
A low-cost plasmonic nanopillar array was synthesized using soft thermal nanoimprint lithography, and its sensitivity was determined through far-field spectroscopic measurements. Its transmission spectrum was highly dependent on the refractive index of the surrounding medium, with its sensitivity being 375 nm per refractive index unit according to the spectral shift. Moreover, a simple sensor whose reflected color changed with a change in the plasma frequency on varying the surrounding medium was fabricated.
APA, Harvard, Vancouver, ISO, and other styles
24

Pradana, Arfat [Verfasser]. "UV nanoimprint lithography for fabrication of 1-D photonic crystal slabs and their application in OLEDs / Arfat Pradana." Kiel : Universitätsbibliothek Kiel, 2014. http://d-nb.info/1058586599/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Imtaar, Muhammad Atyab [Verfasser], Paolo [Akademischer Betreuer] Lugli, and Wolfgang [Akademischer Betreuer] Porod. "Fabrication of nanomagnetic logic components via nanoimprint lithography / Muhammad Atyab Imtaar. Gutachter: Wolfgang Porod ; Paolo Lugli. Betreuer: Paolo Lugli." München : Universitätsbibliothek der TU München, 2014. http://d-nb.info/1051496969/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Harrer, Stefan. "Next-generation nanoimprint lithography: Innovative approaches towards improving flexibility and resolution of nanofabrication in the sub-15-nm region." kostenfrei, 2008. http://mediatum2.ub.tum.de/node?id=646522.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Boulanger, Nicolas. "Carbon nanotubes and graphene polymer composites for opto-electronic applications." Doctoral thesis, Umeå universitet, Institutionen för fysik, 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:umu:diva-119779.

Full text
Abstract:
Carbon nanotubes are carbon based structures with outstanding electronical and mechanical properties. They are used in a wide range of applications, usually embedded in polymer in the form of composites, in order to affect the electronic behavior of the matrix material. However, as the nanotubes properties are directly dependent on their intrinsic structure, it is necessary to select specific nanotubes depending on the application, which can be a complicated and inefficient process. This makes it attractive to be able to reduce the amount of material used in the composites. In this thesis, focus is placed on the electrical properties of the composites. A simple patterning method is presented which allows the use of extremely low amounts of nanotubes in order to increase the electrical conductivity of diverse polymers such as polystyrene (PS) or poly(3-hexylthiophene) (P3HT). This method is called nanoimprint lithography and uses a flexible mold in order to pattern composite films, leading to the creation of conducting nanotube networks, resulting in vertically conducting samples (from the bottom of the film to the top of the imprinted patterns). In parallel, X-ray diffraction measurements have been conducted on thin P3HT polymer films. These were prepared on either silicon substrate or on graphene, and the influence of the processing conditions as well as of the substrate on the crystallinity of the polymer have been investigated. The knowledge of the crystalline structure of P3HT is of great importance as it influences its electronic properties. Establishing a link between the processing conditions and the resulting crystallinity is therefore vital in order to be able to make opto-electronic devices such as transistor or photovoltaic cells.
APA, Harvard, Vancouver, ISO, and other styles
28

Augé, Sylvain. "Lithographie par nanoimpression pour la fabrication de filtres à réseaux résonants en cavité." Thesis, Toulouse 3, 2017. http://www.theses.fr/2017TOU30172/document.

Full text
Abstract:
Les filtres CRIGFs sont une nouvelle génération de filtres optiques réflectifs nanostructurés qui présentent un très fort intérêt pour de nombreuses applications. Cependant, leur fabrication est relativement complexe : il s'agit de composants structurés à des échelles petites devant la longueur d'onde d'utilisation, mais de surface totale relativement grande. Ils sont usuellement fabriqués en utilisant des procédés de lithographie de type lithographie électronique, qui présente une résolution suffisante mais qui est séquentielle et donc lente pour de telles surfaces de composant. En outre, les CRIGFs sont souvent réalisés sur des substrats isolants, ce qui complexifie encore plus l'utilisation de cette lithographie. Lors de cette thèse, un procédé de fabrication des CRIGFs a été développé à partir de la lithographie par nanoimpression via moule souple (SNIL). Cette technologie collective et à haut rendement contourne les inconvénients et garde les avantages de la traditionnelle lithographie électronique. Elle permet de fabriquer des motifs nanométriques par simple pressage d'un moule souple sur une couche de résine de polymères sous insolation d'ultraviolets. Après avoir stabilisé le procédé et établi les limites de la technologie, de nombreux filtres CRIGFs ont ainsi été créés. Ils présentent des résultats optiques équivalents dans le proche infrarouge (NIR) à ceux fabriqués par lithographie électronique. Dans un deuxième temps, le caractère générique du procédé mis en place a été démontré de plusieurs façons. Premièrement, nous avons montré qu'il était possible à l'aide de celui-ci de dépasser les compromis usuels de conception en structurant directement le guide d'onde, qui sera ensuite ré-encapsulé. Deuxièmement, nous avons montré que ce même procédé pouvait être directement transféré pour réaliser des filtres CRIGF dans la gamme du moyen infrarouge, bien que les filtres soient alors réalisés sur un matériau cristallin III-V et présentent des dimensions micrométriques plutôt que nanométriques. Enfin, nous avons démontré la grande souplesse et stabilité du procédé en l'utilisant pour explorer différentes géométries potentiellement intéressantes de cette nouvelle famille de filtres optiques nanostructurés. Nous avons notamment étudié des CRIGFs comportant un gradient de période qui ont permis pour la première fois d'obtenir un filtre CRIGF accordable. Pour finir, nous nous sommes attachés à étudier le potentiel de réalisation de filtres CRIGFs plus complexes et présentant plusieurs niveaux de corrugation
Cavity resonator integrated grating filters (CRIGFs) are a new generation of nanostructured reflective filters. They present a strong interest for many applications. However, their manufacturing is relatively complex: CRIGFs are components structured at small scales compared to the wavelength of interest but on a relatively large area. They are usually made by electron beam lithography technique which presents a sufficient resolution but does not allow parallel patterning and is thereby time consuming for large area components. Furthermore, CRIGFs are often fabricated on insulating wafers which make the e-beam lithography process more complicated. In this PhD, a CRIGF process manufacturing has been implemented through soft mold nanoimprint lithography (SNIL). This high throughput collective technology keeps the benefits of the traditional electron beam lithography while overcoming its limits. Nano-scale patterns can be made by a simple stamping under UV exposure of a soft mold on a polymer resist layer. After stabilizing the process and assessing the technique limits, plenty of CRIGFs have been manufactured. They exhibit optical performances in the near- infrared range equivalent to those manufactured by e-beam lithography. Secondly, it has been demonstrated that the implemented process is generic. We have shown the possibility to overcome the usual design trade-offs by structuring directly the waveguide, before embedding. Moreover, this same process has been shown to be applied in a straightforward way to fabricate CRIGFS in the mid-infrared range using a III-V crystalline material and micrometric sized patterns. Finally, we have demonstrated the great flexibility and sustainability of the process by testing different potential geometries of CRIGFs. Notably, we have designed a CRIGF with a period gradient leading to the first tunable CRIGF ever demonstrated. Lastly, we have evaluated the potential manufacturing of complex CRIGFs with several corrugation levels
APA, Harvard, Vancouver, ISO, and other styles
29

Lin, Chien-Hung, and 林建宏. "Ultrasonic Nanoimprint Lithography Technology." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/72340773981514108296.

Full text
Abstract:
博士
國立清華大學
微機電工程研究所
95
In this study, we report an ultrasonic nanoimprint lithography (U-NIL) method which can overcome the drawbacks of energy consumption and long process time occurred in conventional NIL methods. Instead of using heaters in conventional NIL, the proposed U-NIL employs an ultrasonic source located on the top of mold to generate high frequency vibration causing the increase of temperature to soften and to melt the thermoplastic polymer. The ultrasonic source is induced by the transducer consisting of a number of piezoelectric ceramic discs, sandwiched between two aluminum metal blocks. A novel ultrasonic NIL technology and ultrasonic imprinted machine have been developed and set up. To investigate the effects of imprinted resist thickness and mold geometries on the polymer flow and the temperature distribution of U-NIL through numerical have been simulated. In simulations, the velocity fields in imprinting stage and the temperature distributions in ultrasonic vibrations are performed under the variations of convexity width, cavity width, and thickness of imprinted polymer resist. Moreover, the combined effects of the imprinting stage and ultrasonic vibrations in U-NIL process are discussed. The experimental results demonstrated that vibratory energy could be concentrated in transferring the topography of mold’s surface into the polymer. We conclude that the proposed U-NIL process has the potential to become a novel nanoimprinting method.
APA, Harvard, Vancouver, ISO, and other styles
30

Le, Linh A., and 黎英鈴. "Numerical Study for Nanoimprint Lithography." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/19557854472017512139.

Full text
Abstract:
碩士
國立高雄應用科技大學
資通產品研發與生產碩士外國學生專班
101
Nanoimprint lithography is a promising technology to produce fine-scaled patterns with the advantages of high throughput, low cost and high resolutions. With the field of study on thermal-NIL, the present study was to focus on numerical analyzing the mechanical behavior of polymer resist during the imprinting and demolding process, and go further on withdrawn data to help the industrial replication optimize their process parameters to improve the quality of pattern and lower risk of fracture defects. Under the mechanical driving force, the resist performs large deformation until completely fill up the cavity of the mold, slight deformations can make the component malfunctioned. Therefore, it is to investigate the sources that results in the pattern defects and/or distortions occurred during the NIL process. In present study, we studied the stress and mechanical behavior of PMMA polymer during two important steps: imprinting and demolding by using commercial finite element method (FEM) software ANSYS 13.0. In term of imprint process, a 2-D model was created with exploitation of Mooney-Rivlin model which is to describe the hypper-elasticity of PMMA polymer resist. For the conventional NIL , while it is substantiated to perform a good capacity on fabricating fine-sized members, the resist PMMA placed on the substrate of the platform, where is underneath of the mold, yields the gravitational effect against the deformation process during the pressing step and hence results in the increase in the imprint pressure needed. Therefore, this work attempts to inverse the conventional NIL design, which places the PMMA on the top of the mold, to remove the gravitational force against PMMA deformation process in the cavity. In addition, the gravitational effect becomes advantageous for the resist filling up the cavity and gives the reduction of imprint load needed for NIL fabrication. On the other hands, the multi-mold cases also were designed for investigating the impact of groove distribution on the stress evolution of residual layer; and the results showed that with tighter density of cavities along the stamp, the stress become higher and on the other words, higher risk of fracture defect. During the demolding process, the main focus is on the affection of adhesion force, while the friction force also consider but slightly effect on stress distribution. Conventional NIL pattern is typically desired to acquire symmetric stress distributions throughout the pattern body; however, as the pattern suffering lateral force during demolding process, the stress field becomes unsymmetric and the pattern distortion obtains un-symetrically as well.
APA, Harvard, Vancouver, ISO, and other styles
31

Cui, Dehu. "Nanoimprint Lithography for Functional Polymer Patterning." Thesis, 2011. http://hdl.handle.net/1969.1/ETD-TAMU-2011-12-10369.

Full text
Abstract:
Organic semiconductors have generated huge interested in recent years for low-cost and flexible electronics. Current and future device applications for semiconducting polymers include light-emitting diodes, thin-film transistors, photovoltaic cells, chemical and biological sensors, photodetectors, lasers, and memories. The performance of conjugated polymer devices depends on two major factors: the chain conformation in polymer film and the device architecture. Highly ordered chain structure usually leads to much improved performance by enhancing interchain interaction to facilitate carrier transport. The goal of this research is to improve the performance of organic devices with the nanoimprint lithography. The work begins with the controlling of polymer chain orientation in patterned nanostructures through nanoimprint mold design and process parameter manipulation, and studying the effect of chain ordering on material properties. After that, step-and-repeat thermal nanoimprint technique for large-scale continuous manufacturing of conjugated polymer nanostructures is developed. The actual chain orientation of molecular groups in polymer micro- and nanostructures patterning by nanoimprint is complicated. However, this information is crucial for intelligently controlling the electrical and photophysical properties of conjugated polymers by nanoimprint. Systematic investigation of polymer chain configuration by Raman spectroscopy is carried out to understand how nanoimprint process parameters, such as mold pattern size, temperature, and polymer molecular weight, affects polymer chain configuration. The results indicate that chain orientation in nanoimprinted polymer micro- and nanostructures is highly related to the nanoimprint temperature and the dimensions of the mold structures. The ability to create nanoscale polymer micro- and nanostructures and manipulate their internal chain conformation establishes an original experimental platform that enables studying the properties of functional polymers at the micro- and nanoscale and understanding their fundamental structure-property relationships. In addition to the impact on basic research, the techniques developed in this work are important in applied research and development. Large-area conjugated polymer micro- and nanostructures can be easily fabricated by thermal step-and-repeat nanoimprint for organic flat-panel displays, organic circuits and organic solar panels. The ability to manipulate chain orientation through nanoimprint presents a new route to fine-tune the electrical and photophysical properties of conjugated polymers, which can lead to improved performance for all organic electronics. The techniques developed here also allow for easy incorporation of other micro- and nanoscale soft functional polymers in miniaturized devices and systems for new applications in electronics, photonics, sensors and bioengineering.
APA, Harvard, Vancouver, ISO, and other styles
32

Liu, Jen-Fu, and 劉仁福. "The study of the Nanoimprint Lithography." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/10192042671330093880.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

王志祐. "Heating - Assisted for Ultrasonic Nanoimprint Lithography." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/67246836292492303030.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Fu, Chi-Chun, and 傅啟俊. "Process Development on UV Nanoimprint Lithography." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/52269981047803162444.

Full text
Abstract:
碩士
國立清華大學
電子工程研究所
95
As progress of IC fabrication technology, the device size was scaling down gradually. According to the prediction of ITRS (International Technology Roadmap for Semiconductors Conference) of 2006, lithography technologies below 32nm includes EUV, innovative 193nm immersion with water, imprint and ML2 methods. The imprint lithography technology has simple process, low cost, and high throughput and has potential in IC process. The key points of UV nanoimprint lithograph include mold fabrication, material of photoresist, process of imprinting and etching. First at all, in order to cost down, we fabricated HSQ/ITO/Glass mould to substitute for conventional quartz. And using e-beam lithography with low dose (360�媴/cm2) to define patterns. An HSQ film developed by TMAH concentration is 6% and etch time is 10sec. The heat cycle included soft bake and hardback at step-like temperature controlled with various time intervals. UV mold with various width/space=1:10 for line width 70nm were fabricated. For UV nanoimprint, we coated release layer (F13-TCS) on HSQ mold. Then, we succeed to transfer HSQ patterns on PR (PAK-01-200) which is effected by UV wavelength 300 ~ 370 nm at room temperature with the imprint pressure is 595∼870 bar and imprint time is 2 ~ 20 min. The SEM was then taken to observe the transferred patterns. The optimum condition can be chose. Secondly, we use the above optimum imprint condition to continue the RIE experiment for further development of lift-off technique. SEM was taken to observe the patterns after RIE process. Aluminum was then evaporated on the substrate. From SEM pictures, Aluminum nano wires with the line width and height, 140 nm and 56 nm, were performed.
APA, Harvard, Vancouver, ISO, and other styles
35

Colburn, Matthew Earl 1974. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithograph." 2001. http://hdl.handle.net/2152/10298.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Li, Chin, and 李勁. "Fabrication of Metallic Nanostructure by Nanoimprint Lithography." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/cv66tw.

Full text
Abstract:
碩士
國立交通大學
應用化學系分子科學碩博士班
105
In this thesis, metallic nanostructure arrays on Si substrate were demonstrated using the UV-curing nanoimprint lithography technique. The fabrication nanostructures and manufacturing processes were confirmed and further optimized to using various microscopic tools. In addition to the absorption measurements of the as-prepared Ag nanostructures, we also simulated the effects of pitches, shapes, and substrates on the absorption and electric field distributions. We found that the absorption peak positions can be controlled by varying the aforementioned parameters.From the simulated electric field distributions, we speculated that the enhanced light absorption with the Ag nanostructures on Si was not due to plasmonic effect but from the enhanced light scattering.
APA, Harvard, Vancouver, ISO, and other styles
37

Yang, Wan-Lin, and 楊琬琳. "Fabricating Sub-wavelength Grating Using Nanoimprint Lithography." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/68213137733610054007.

Full text
Abstract:
碩士
國立交通大學
光電工程系所
95
Sub-wavelength periodic structures have many applications in optical devices, for example, gratings, laser cavities, and photonic crystals, etc. The periodic structures can be fabricated by several methods. However, it is not easy to make sub-micron structures with optical methods. Because of the diffraction of light, the structure is limited to the size of wavelength. Besides, fabricating a large-sized sub-wavelength periodic sturcture is time-comsuming and costly owing to the usual fabrication of e-beam direct writing. Thererfore, we will propose a novel process to fabricate a sheet of sub-wavelength grating suitable for LCDs. The objective of this thesis is to design and fabricate a large-sized high efficient planer polarizing beam splitter (PBS). In experiments, the sub-wavelength grating was fabricated by combining interferometric lithography (IL) and nanoimprint lithography (NIL). Interferometric lithography is the preferred approach to produce periodic structures with sub-micron period; meanwhile, combines with nanoimprint lithography to fabricate the proposed sub-wavelength gratings. The improvements in processes can increase the exposure areas to about 1 cm2. The simulated results show that the sub-wavelength grating, which consists of period, duty cycle, and aspect ratio of 0.2 , 50%, and 1, respectively, provides 90% of TE-mode reflection efficiency and 80% of TM-mode transmission efficiency in the near infrared spectrum range.
APA, Harvard, Vancouver, ISO, and other styles
38

Yi-MingLin and 林奕名. "Extreme ultraviolet interferometric lithography - fabrication of transmission grating by using nanoimprint lithography." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/12689475218057827616.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Chen, A., Soo-Jin Chua, Clifton G. Jr Fonstad, B. Wang, and O. Wilhelmi. "Two-dimensional Photonic Crystals Fabricated by Nanoimprint Lithography." 2004. http://hdl.handle.net/1721.1/7374.

Full text
Abstract:
We report on the process parameters of nanoimprint lithography (NIL) for the fabrication of two-dimensional (2-D) photonic crystals. The nickel mould with 2-D photonic crystal patterns covering the area up to 20mm² is produced by electron-beam lithography (EBL) and electroplating. Periodic pillars as high as 200nm to 250nm are produced on the mould with the diameters ranging from 180nm to 400nm. The mould is employed for nanoimprinting on the poly-methyl-methacrylate (PMMA) layer spin-coated on the silicon substrate. Periodic air holes are formed in PMMA above its glass-transition temperature and the patterns on the mould are well transferred. This nanometer-size structure provided by NIL is subjective to further pattern transfer.
Singapore-MIT Alliance (SMA)
APA, Harvard, Vancouver, ISO, and other styles
40

Shie, Yu-Sheng, and 謝煜昇. "Patterning Technology of Microstructure Arrays Using Nanoimprint Lithography." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/86677612505291781695.

Full text
Abstract:
碩士
國立彰化師範大學
顯示技術研究所
96
In this paper, we study flexible polydimethylsiloxane (PDMS) as master molds to imprint. Without using any release agent, we use PDMS as soft molds to imprint the feature by hot or UV curing. Then micro-structure of spherical array features are transferred on PMMA and UV photoresists. We discuss the process conditions of temperature, pressure, and time. We also use PDMS as mold to imprint the pattern on the different base and curing agent mixing ratio. The molding structure can achieve to 1μm. The technology has the advantages of simple process, time efficient (10 minutes to complete), low cost, and high production. Without using any release agent, the technology is quite suitable for biochips. PDMS is transparent and flexible. It can be applied to the optical devices, flexible displays and so on.
APA, Harvard, Vancouver, ISO, and other styles
41

Chen, Chang-Fu, and 陳長福. "Molecular Dynamics Simulation of Thermoplastic Nanoimprint Lithography Process." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/69556116483098869713.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

林逸昕. "Application of ultraviolet nanoimprint lithography on flexible substrate." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/39400592258389116870.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

HSIN, I.-CHIN, and 辛依瑾. "Study of Processing Parameters in the Nanoimprint Lithography." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/45824147072840886516.

Full text
Abstract:
碩士
國立成功大學
航空太空工程學系碩博士班
94
Abstract Subject: Study of Processing Parameters in the Nanoimprint Lithography Student: I-Chin Hsin Advisor: Wen-Bin Young In recent years, many new lithography techniques have been developed for nano-scale fabrication. Among those techniques, nanoimprint lithography provides a low-cost, high resolution, and high throughput manufacturing of nanostructures. It is regarded as one of the most successful alternative lithography techniques. In this study, we construct a new mathematics model to simulate polymer filling of the microstructure. A power law model is applied to describe the viscosity of the polymer photoresist when polymer layer is heat to turn into melt state. In the experiment, we use the LIGA-LIKE lithography process to construct the micro mold insert. A constant force is applied during the imprint process. The results of simulations are compared to the experimental data for verification.
APA, Harvard, Vancouver, ISO, and other styles
44

Chi, Kai-Yuan, and 紀凱原. "Fabrication of Nano-Array Structure by Using Nanoimprint Lithography." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/28961015871711653478.

Full text
Abstract:
碩士
國立臺灣大學
物理研究所
95
Before long, it could come true that nanoimprint lithography will replace photolithography in semiconductor manufacture and nano technology. After Stephen Y. Chou, related technologies proposed by C. G. Wilson and G. M. Whiteside make it more possible. One reason is that nanoimprint can save much more cost and time waste in manufacture. More important one is the breakthrough about the diffraction of light. Although nanoimprint is highly developed, the fabrication under low pressure and the mechanics must be investigated. The researched object of this article is the nanostructure with high aspect ratio. In the first, we will introduce hard mask manufacture. In the method, SiO2 is used as a sacrificial layer to make deeper etch length. The most important part of nanoimpirnt is the leaf off process. Because the mold contains more surface area than the substrate, the adhesion force is asymmetric on both sides. It usually results to fracture or incomplete leaf off. Then we will show how to minimize the adhesion force between mold and polymer by coating an SAM layer. Thereafter, we will compare the results of PS and PMMA under different pressure, imprint time and temperature and find out the best parameters. At last, we will measure the force curve by AFM. The reasons why the mechanics of micro behavior and macro one are different will be discussed in the conclusion.
APA, Harvard, Vancouver, ISO, and other styles
45

Wu, Chung-Shian, and 吳重謙. "The research of the manufacturing parameters in Nanoimprint Lithography." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/08001641716483508156.

Full text
Abstract:
碩士
元智大學
機械工程學系
93
Nanoimprint lithography is one of the most important methods to improve the precision of micro fabrication process, however the manufacturing parameters such as imprinting pressure, mold temperature, aspect ratio of the recessed groove, and initial thickness of polymer are difficult to determine. The higher imprinting pressure creates a stress concentration at the corner of the polymer and then induce the defect of the polymer at the cooling process. On the other hand, incomplete filling ratio is observed if imprinting pressure is not higher enough and perfect pattern will then not be formed on the surface of the polymer. A finite element software MARC is applied in this paper to investigate the correlation among these manufacturing parameters. The computer simulation was based on a rubber elastic model and the profile obtained from the simulation agreed well with the experimental data. The minimum imprinting pressure which cause 100% filling ratio and prevent the specimen defects is found and further reduce the time of trial and error.
APA, Harvard, Vancouver, ISO, and other styles
46

Lyu, Jia-syun, and 呂佳勳. "A Study of Patent Technical Roadmap on Nanoimprint Lithography." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/42354886490224248010.

Full text
Abstract:
碩士
國立臺灣科技大學
專利研究所
103
Before drafting a research proposal , it is crucial that analyze patents effectively in the particular area and sum up the possible technical roadmap,we should know the patent portfolios strategy and developments of competitors by patent analysis and portfolios strategy. In this study,we analyze the patent technical roadmap and do the integral trend analyze and judge the technical roadmap by technology function matrix,nanoimprint technology is our topic. Utilize technical roadmap to predict potential technical direction so that it can provide industry and technical staff references and clues. The advantages of nanoimprint are low equipment cost and high throughput. Nanoimprint has the characteristics what semiconductor industry needs, and it is one of the emerging technologies in the semiconductor lithography techniques. In this paper, regard nanoimprint related patents as core, and the analysis is divided into three parts: (1) Macroscopic analysis of nanoimprint patents,including patent application trends, competitive companies, mergers and so on; (2) Analyze the development of nanoimprint and realize the history about development and specific competitor strategy and technology direction; (3) Use technical roadmap fishbone diagram and research direction to know the gaps between current status of technology and competitors. Draw up research direction to find related patent through technical objectives. According to the result, we found : (1)The applicant numbers of nanoimprint patents show steady state. Canon Company acquired Molecular Imprint Company and it became the leader in this technology. Moreover, the technology has been successfully applied to other technical fields, such as hard drive manufacturing or an optical film ; (2) The mainstream of current market is Step and Flash Imprint Lithography (SFIL), which is mainly focused on the imprint method and machine equipment. In addition, reduce the time of imprinting process is the first goal; (3)Use technical roadmap fishbone diagram to know the key of the current nanoimprint are large area imprint and enhancement of precision. (4) Provide a complete technical framework for researchers to read patent information. It will be helpful to find a potential development direction.
APA, Harvard, Vancouver, ISO, and other styles
47

Chi, Kai-Yuan. "Fabrication of Nano-Array Structure by Using Nanoimprint Lithography." 2007. http://www.cetd.com.tw/ec/thesisdetail.aspx?etdun=U0001-1407200721080100.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Hsing, Meng-Hann, and 辛孟翰. "Application of Anodic Aluminum Oxide(AAO) in Nanoimprint Lithography." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/97224671442220669328.

Full text
Abstract:
碩士
中國文化大學
機械工程學系數位機電碩士班
100
The advantage of anodic aluminium oxide (AAO) is low cost, high productivity, large area, and simple fabrication. Different spacing of holes are used in every low dimension’s regular nano material. The AAO is widely applied to fabrication of low-dimension nano materials for various diameter of holes and distance between the holes. Firstly, based on Taguchi methods, we have investaged the impacts of temperature, distance between electrodes, time, and applied voltage in the anodic process. For the orthogonal table of L9 layout, the best setting of AAO process could be achieved. Secondly, We present the new mold fabrications for nanoimprint lithography for the application of ordered array of rod or pore patterning. The concave and convex types of the mold are achieved. For this technology, the master is required preparation before the mold fabrication. The master is utilized by step and repeated to achieve the structures over a large area on the mold. The master, mold, and imprint results demonstrate that the new approaches of mold fabrication could be a feasible scheme with low cost and high throughput.
APA, Harvard, Vancouver, ISO, and other styles
49

Shih, Yi-Hong, and 史翊宏. "Application of nanoimprint lithography on polymer light-emitting diodes." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/wtw27j.

Full text
Abstract:
碩士
國立嘉義大學
電子物理學系光電暨固態電子研究所
106
Due to mismatch of the polymer light-emitting diode(PLED) structure, the extraction efficiency has to be limited to about 25%. Most of the light is confined in the transparent substrate and the organic layers. Only about 40% of light is trapped in the substrate. Therefore, how to improve the PLED efficiency of extracting is an important issue in contemporary. In this study, nanoimprint lithography technology is used to fabricate nanostructure onto PC substrate. The cobalt substrate is used as our imprint mold and patterned nanometer grating structures with different period for applying to PLED device. In order to optimize the extraction efficiency by nanometer grating structure, we systematically tested different temperatures, pressure and pressing time to fabricate the optimal nanostructure. The Al/LiF/F8BT/PEDOT:PSS/ITO/PC/nanoimprint is proposed in thisposter. When nanoimprint on PC substrate is used as the surface plasmon resonance(SPR) layer, the blue-emitting PLED of EL intensity increases around 2-fold by comparing to a standard device. The prepared device offers a new design scheme for optimizing the blue-emitting PLED efficiency, which is potentially advantageous for various organic-semiconductor-based devices.
APA, Harvard, Vancouver, ISO, and other styles
50

Wang, Er-Chien. "Nanoimprint lithography for light trapping applications in solar cells." Phd thesis, 2012. http://hdl.handle.net/1885/149990.

Full text
Abstract:
In this thesis, substrate conformal imprint lithography (SCIL) process is adopted to fabricate efficient light trapping structures for thin-film solar cells. The SCIL process can achieve economical and large area patterns with high fidelity sub-micron resolution over non-planar surfaces without involving intense curing processes. We study and compare both plasmonic particle arrays and dielectric diffraction grating arrays placed on the rear of thin-film cells for light trapping, and we enhance the effect further by integrating a detached silver (Ag) mirror that creates a Fabry-Perot resonance effect. The effect of the Ag nanoparticle size distribution on the performance of plasmonic polycrystalline silicon (Si) thin-film solar cells is studied. The short-circuit current enhancement for cells with a back reflector is 34% and 30% with a multi-disperse array and the mono{u00AD}dispersed array respectively, compared to 13% enhancement due to the reflector alone. The better performance of multi-disperse Ag nanoparticle arrays is attributed to a broader scattering cross-section of the array owing to a broad particle size distribution and a higher nanoparticle coverage. We present an experimental demonstration of photocurrent enhancement in thin-film recrystallised silicon solar cells using titanium dioxide (Ti0{u2082}) pillar arrays fabricated on the rear of the cells using nanoimprint lithography. A short-circuit current enhancement of 19% is measured experimentally, and excellent agreement with numerical simulations is obtained. We show numerically that by replacing the Ag capping present on the cells with a detached rear Ag back reflector the enhancement could reach 37%. We numerically investigate the light trapping properties of two-dimensional diffraction gratings formed from Ag disks or Ti0{u2082} pillars, placed on the rear of Si thin-film solar cells. By optimizing the grating geometry and the position of a planar reflector, we predict short circuit current enhancements of 45% and 67% respectively for the Ti0{u2082} and Ag nanoparticles. Furthermore, we show that Fabry-Perot resonance effect between the grating and the detached planar mirror can significantly enhance, or suppress, the light trapping performance. In order for the Fabry-Perot resonance effect to be experimentally feasible, we numerically simulate a planar Ag mirror sitting on top of a sol-gel planarized silicon dioxide (Si0{u2082}} layer, where the enhancement could reach 53%, and a conformal Ag mirror sitting on sputtered Si0{u2082} layer could give similar enhancement value. From absorptance measurements on thin-films, we predict that the short-circuit current density (Jsc) enhancement will be around 1.8 mA/cm{u00B2} less than the simulated value, which is due to the morphology differences between the experimental structures and the simulated structures. Nanoimprint lithography with various deposition techniques has also been adopted to fabricate blazed line and cone gratings as well as ring type gratings. In this thesis, the best performing structure is mono-disperse plasmonic arrays with integrated detached Ag mirror. From simulation, the structure can enhance the Jsc by over 50% from the planar case and the structure can be fabricated cheaply and easily. From the simulations and experimental investigations, we conclude that it would be feasible to adopt nanoimprint lithography for light trapping applications in solar cells.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography