Academic literature on the topic 'Metal precursor'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Metal precursor.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Metal precursor"

1

Anderson, J. A., and M. Galan-Fereres. "Precursor-support interactions in the preparation of sepiolite-supported Ni and Pd catalysts." Clay Minerals 34, no. 1 (March 1999): 57–66. http://dx.doi.org/10.1180/000985599546073.

Full text
Abstract:
AbstractNaturally occurring sepiolite has been used as a support in the preparation of Ni and Pd catalysts. A precipitation procedure has been applied for both metals to deposit the precursor on to the support surface. The interaction between the sepiolite and the metal precursor has been studied by use of IR and solid-state MAS-NMR. Results show that SiOH groups are consumed in forming the Ni precursor whereas no specific interactions occur in the case of the Pd. However, strong interactions between metal precursor and the tetrahedral sheet occur for both metals leading to precursors which show a high stability under reducing conditions.
APA, Harvard, Vancouver, ISO, and other styles
2

Fukuda, Nobuko, Shintaro Ogura, Ken-ichi Nomura, and Hirobumi Ushijima. "Effects of Amines on Chemical and Physical Behaviors of Viscous Precursor Sols to Indium Gallium Zinc Oxide." MRS Proceedings 1547 (2013): 123–28. http://dx.doi.org/10.1557/opl.2013.783.

Full text
Abstract:
ABSTRACTWe synthesized viscous precursors to indium gallium zinc oxide (IGZO) using three kinds of alcoholamines, ethanolamine (EA), diethanolamine (DEA), and triethanolamine (TEA), by a simple process. The viscous precursors are obtained just by vigorous stirring of alcoholamine and urea in an aqueous solution containing the metal nitrates during heating at 150-160 °C. The precursor containing EA (EA-precursor) is a pale-orange suspension containing aggregates of the metal hydroxides and shows pseudoplastic flow. The precursors containing DEA (DEA-precursor) and TEA (TEA-precursor) are transparent pale-yellow and dark-orange sols, respectively. They give Newtonian flow in the lower shear rate and pseudoplastic flow in the higher shear rate. Higher concentration of metal salts leads to higher viscosity of the precursors. According to thermogravimetry-differential thermal analysis (TG-DTA) for the EA- and DEA-precursors, evaporation of alcoholamine occurs at around each boiling point and subsequently formation of metal oxides occur at around 300 °C. In the case of the TEA-precursor, formation of metal oxides occurs before pyrolysis of TEA attributed to the higher boiling point of TEA. The thin IGZO film, which is prepared by spin-coating of the diluted DEA-precursor and subsequent sintering at 450 °C for 30 min, shows 0.02 cm2 ·V-1s-1 of the mobility and 10-5 of the on/off ratio. The highly viscous DEA-precursor containing high concentration of metal ions allows patterning in an area of 100 cm2 onto a surface of a silicon wafer with screen printing.
APA, Harvard, Vancouver, ISO, and other styles
3

Antony, M. M., and K. H. Sandhage. "Barium titanate/noble metal laminates prepared by the oxidation of solid metallic precursors." Journal of Materials Research 8, no. 11 (November 1993): 2968–77. http://dx.doi.org/10.1557/jmr.1993.2968.

Full text
Abstract:
A novel and attractive method for preparing multicomponent electronic ceramics and ceramic-metal composites is the oxidation of solid metallic precursors (SMP). This metallurgical processing route consists of the following steps: (i) preparation of a solid metallic precursor containing the proper ratio of elements for the final ceramic or ceramic-metal composite, (ii) compaction and forming of the metallic precursor into a desired shape, and (iii) oxidation to produce a monolithic ceramic or ceramic-metal composite. While the SMP method has been used to prepare wires and tapes containing a variety of superconducting oxides, this method has not been widely used to synthesize other electronic ceramics. In this paper, the synthesis of dielectric BaTiO3/noble metal laminates from solid metallic precursors is discussed. Ba–Ti precursor powders have been produced by solid-state mechanical alloying. The precursor powder was sealed inside noble metal tubes and rolled to form thin Ba–Ti/noble metal laminates. Exposure of the Ba–Ti core in such tapes to temperatures ≥ 300 °C in pure oxygen resulted in rapid oxidation. Post-oxidation annealing at elevated temperatures (≥900 °C) yielded dielectric BaTiO3/Ag or BaTiO3/Pd laminates.
APA, Harvard, Vancouver, ISO, and other styles
4

Allameh, Seyed M., and Kenneth H. Sandhage. "The oxidative transformation of solid, barium-metal-bearing precursors into monolithic celsian with a retention of shape, dimensions, and relative density." Journal of Materials Research 13, no. 5 (May 1998): 1271–85. http://dx.doi.org/10.1557/jmr.1998.0182.

Full text
Abstract:
The conversion of Ba–Al2O3 –Si–SiO2, Ba–Al–Al2O3 –SiO2, and Ba–Sr–Al–Al2O3 –SiO2 precursors into monolithic, monoclinic celsian has been examined. The relative amounts of metal and oxide in each type of precursor were adjusted so that the overall stoichiometry and molar volume were similar to those of the desired product, celsian. Metal + oxide mixtures were mechanically alloyed and then uniaxially pressed to yield 84–92% dense precursor disks. The precursors were converted into celsian by exposure to a series of heat treatments from 300–1500 °C in oxygen-bearing gases. Differences and similarities in the phase evolution of the various precursors are discussed. Celsian disks were produced that retained the precursor shape, dimensions, and relative (% theoretical) density.
APA, Harvard, Vancouver, ISO, and other styles
5

Tan, Koon Tatt. "Review on Manufacturing of Metal Foams." ASM Science Journal 16 (July 26, 2021): 1–8. http://dx.doi.org/10.32802/asmscj.2021.794.

Full text
Abstract:
Metal foams possess excellent physical and mechanical properties. This paper reviews the common manufacturing process of metal foams. Various ways used to produce metal foams based on metal properties are described. The manufacturing process follows four primary routes: liquid state, solid state, ion or vapour processing. Liquid-state processing produces porosity to liquid or semi-liquid metals, and solid-state foaming produces metal foams with metal powder as starting material. For ion and vapour processing methods, metals are electro-deposited onto a polymer precursor. The polymer precursor is removed by chemical or heat treatment to produce metal foams. The advantages and limitations of each manufacturing process are also described.
APA, Harvard, Vancouver, ISO, and other styles
6

Matus, E. V., L. M. Khitsova, O. S. Efimova, S. A. Yashnik, N. V. Shikina, and Z. R. Ismagilov. "Preparation of Carbon Nanotubes with Supported Metal Oxide Nanoparticles: Effect of Metal Precursor on Thermal Decomposition Behavior of the Materials." Eurasian Chemico-Technological Journal 21, no. 4 (December 18, 2019): 303. http://dx.doi.org/10.18321/ectj887.

Full text
Abstract:
To develop new catalysts based on carbon nanomaterials with supported metal oxide nanoparticles for oxidative transformations of sulfur compounds, a series of metal oxide nanoparticle-decorated carbon nanotubes (MOx/CNTs) were prepared by incipient wetness impregnation at a variation of the active metal type (M = Ce, Mo, Cu). The thermal decomposition of bulk and CNT supported metal precursors used in the preparation of MOx/CNTs was analyzed under inert atmosphere employing several thermoanalytical techniques (thermogravimetry, differential thermogravimetry and differential scanning calorimetry) coupled with mass spectrometry. The thermolysis parameters of the bulk and supported metal precursors were compared and the effect of CNT support on the decomposition pattern of compounds was elucidated. It was established that the decomposition of metal precursors supported on CNTs was started and completed at temperatures of 15‒25 and 25‒70 °C lower, respectively, compared with the bulk active metal precursor. The enhancement of CNT support stability against thermal degradation is observed in the following row of metal cations: Ce < Cu < Мо < pristine and metal anions of precursor: nitrate < chloride < sulfate. The optimal mode of thermal treatment of catalyst and appropriate active metal precursors were selected for advanced synthesis of nanosized MOx/CNT catalyst.
APA, Harvard, Vancouver, ISO, and other styles
7

Deng, Chong, Bowen Fu, Lili Li, Yanlai Wang, and Lin Yang. "Influence of Sulfur Precursor Solutions on Crystallinity of CuInS2 Nanocrystals Fabricated with Hot-Injection Method." Journal of Nanoscience and Nanotechnology 20, no. 7 (July 1, 2020): 4533–36. http://dx.doi.org/10.1166/jnn.2020.17894.

Full text
Abstract:
The hot-injection method was used for the synthesis of ternary metal chalcogenide nanocrystals (NCs) CuInS2 (CIS); this was achieved by using the metal precursors (copper iodide and indium acetate) and four different types of sulfur precursor solutions. It was discovered that CIS NCs synthesized with different sulfur precursor solutions exhibited the chalcopyrite structure with similar particle sizes of ~4.2 nm. As a comparison, CIS NCs synthesized using ODE-S precursor displayed an enhanced luminescence intensity and a long PL decay lifetime, which could be considered as an evidence of improved interior crystallinity.
APA, Harvard, Vancouver, ISO, and other styles
8

Yakushko, E. V., L. V. Kozhitov, D. G. Muratov, D. Yu Karpenkov, and A. V. Popkova. "The controllable magnetic properties of Nico/C nanocomposites." Izvestiya Vysshikh Uchebnykh Zavedenii. Materialy Elektronnoi Tekhniki = Materials of Electronics Engineering 22, no. 2 (December 10, 2019): 92–103. http://dx.doi.org/10.17073/1609-3577-2019-2-92-103.

Full text
Abstract:
The NiCo/C metal-carbon nanocomposites based on the NiCl2/CoCl2/Polyacrylonitrile (PAN) precursors were synthesized using IR heating. The results of studies of NiCo/C nanocomposites by X-ray phase analysis, transmission electron microscopy, and vibration magnetometry showed the dependence of the structure and properties of NiCo/C nanocomposites on the synthesis temperature, concentration, and metal ratio in the precursor. According to the results of the X-ray phase analysis, it was found that during the IR pyrolysis of the precursor, NiCo metal nanoparticles are stabilized in the carbon matrix, an increase in the synthesis temperature from 350 to 800 °C leads to an increase in the average size of nio nanoparticles from 10 to 80 nm, it is established that the formation of the alloy occurs due to the gradual dissolution of cobalt in nickel with the simultaneous transition of cobalt from the hcp modification to FCC. The structure of nanocomposites was shown by transmission electron microscopy of samples synthesized at 600 °C. It was found that with an increase in the metal concentration in the precursor from 10 to 40 wt.%, the average size of NiCo nanoparticles increases and the concentration of nanoparticles in the carbon matrix increases. The study of the magnetic properties of nanocomposites showed that with an increase in the content of metals in the precursor from 10 to 40 wt.%, an almost linear increase in the saturation magnetization from 5.94 to 25.7 A · m2/kg is observed. A change in the ratio of metals from Ni : Co = 4 : 1 to Ni : Co = 1 : 4 causes an increase in magnetization from 11.46 to 23.3 A · m2/kg.
APA, Harvard, Vancouver, ISO, and other styles
9

Murugkar, Anupa, Subhash Padhye, Sujata Guha-Roy, and Ullas Wagh. "Metal complexes of Taxol precursor." Inorganic Chemistry Communications 2, no. 11 (November 1999): 545–48. http://dx.doi.org/10.1016/s1387-7003(99)00152-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Qiu, Yu, and Lian Gao. "Metal-Urea Complex-A Precursor to Metal Nitrides." Journal of the American Ceramic Society 87, no. 3 (March 2004): 352–57. http://dx.doi.org/10.1111/j.1551-2916.2004.00352.x.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Metal precursor"

1

Dinnage, Christopher Walker. "Molecular precursor routes to transition metal sulfides." Thesis, University College London (University of London), 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.252054.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Peters, Emily Sarah. "Precursor synthesis and chemical vapour deposition of transition metal sulfides." Thesis, University College London (University of London), 2004. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.408505.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Marnell, Lisa. "Adsorption of precursor molecular magnets on single crystal metal surfaces." Thesis, University of Liverpool, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.439490.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Rehermann, Carolin. "Exploring the Precursor-Process-Property Space in Metal Halide Perovskite Thin-Films." Doctoral thesis, Humboldt-Universität zu Berlin, 2021. http://dx.doi.org/10.18452/23095.

Full text
Abstract:
Die Anpassung der Bandlücke und die Herstellung mittels lösungsbasierter Prozesse charakterisieren Metallhalogenid-Perowskite. Sie sind vielversprechend für die Anwendung in optoelektronischen Bauteilen, die die Abscheidung von hochwertigen Dünnschichten erfordern. Deren Qualität hängt stark vom Kristallisationsverhalten ab, welches durch die Komposition der Lösung bestimmt ist. Ziel dieser Arbeit ist es, Korrelationen im Präkursor-Prozess-Eigenschaftsraum von Metallhalogenid-Perowskit zu bewerten und Formierungsprozesse zu rationalisieren. Phasenreinheit, Morphologie und Absorptionseigenschaften zeichnen die Qualität der Perowskit-Dünnschichten aus. Die Optimierung der Herstellung von hochwertigen Filmen über einen breiten Bandlückenbereich wird zuerst beleuchtet. Die Rationalisierung der Formierungsprozesse erweist sich als fundamental, um reproduzierbare Präparationsroutinen für hochwertige Filme zu entwickeln. Anschließend wird ein optischer in-situ Aufbau zur Rationalisierung von Formierungsprozessen vorgestellt. Abhängig vom Halogenidverhältnis in der MAPb(IxBr1-x)3-Reihe werden verschiedene Formierungswege eingeschlagen. Während sich das reine Bromid direkt und Iodid reiche Perowskite über die intermediäre Solvatphase (MA)2(DMSO)2Pb3I8 bilden, bilden sich gemischte Halogenide zwischen 0.1 ≤ x ≤ 0.6 über beide Wege. Die Formierung über konkurrierende Wege erklärt die kompositorische Heterogenität der gemischten Halogenidproben. Zuletzt werden Formierungsprozesse von Bromid-Perowskiten rationalisiert und Abhängigkeiten der Kinetik von der Lösungskonzentration zeigen sich. Niedrige Konzentrationen führen zu einer beschleunigten Kristallisation und Schichtdickenabnahme des Nassfilms. Dieser Trend wird durch geringere Kolloidwechselwirkungen und niedriger koordinierte Blei-Bromid-Komplexe in verdünnten Lösungen erklärt. Die Korrelation im Präkursor-Prozess-Eigenschaftsraum hebt die Herstellung von Perowskiten aus chemischer Sicht zu einem nicht-trivialen Prozess.
Bandgap tunability by ion substitution and the fabrication due to solution-based processes characterize metal halide perovskites. They are promising for application in various thin-film opto-electronic devices, which require the deposition of high-quality thin-films. The quality strongly depends on the crystallization behavior predetermined by the precursors in solution. This thesis aims to evaluate correlations in the vast precursor-process-property space of metal halide perovskite and rationalizes formation processes. Phase purity, morphology, and absorption properties determine the perovskite thin-film quality. The first part focuses on optimizing the perovskite fabrication to obtain high-quality films over a wide bandgap range. From high-quality films, the exciton binding energy is determined. The rationalization of formation processes proves essential to design reproducible preparation routines for high-quality films. The second part presents an optical in-situ setup to rationalize perovskite formation processes. Different formation pathways are taken, depending on the halide ratio in the MAPb(IxBr1-x)3 series. While the pure bromide forms directly and iodide-rich perovskites form via the intermediate solvate phase (MA)2(DMSO)2Pb3I8, mixed halides between 0.1 ≤ x ≤ 0.6 form via both. Such a heterogeneous formation process via two competing pathways rationalizes the compositional heterogeneity of mixed halide samples. The third part focuses on rationalizing the formation process of pure bromide perovskites and reveals a dependency of the formation kinetics on the solution concentration. Lower concentrations lead to accelerated crystallization kinetics and increase wet-film thinning. Lower colloid interaction and lower coordinated lead-bromide complexes in diluted solutions explain this trend. The strong correlation in the precursor-process-property space raises the preparation of perovskites via spin-coating to a non-trivial process from a chemical point of view.
APA, Harvard, Vancouver, ISO, and other styles
5

Gao, Xiang. "Carboxylate Precursor Effects on MOD Derived Metal Oxide (Ni/NiO) Thin Films." University of Cincinnati / OhioLINK, 2012. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1331300391.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Dill, Kathryn Ann. "Process Optimization for the Synthesis of Gold Nanoparticles from a Mixed Metal Precursor Solution." VCU Scholars Compass, 2018. https://scholarscompass.vcu.edu/etd/5326.

Full text
Abstract:
Separation methods involving a mixture of metals typically include upfront processing that leads to one final product. To lower the waste and ultimately environmental burden, the potential to synthesize multiple functional products from a mixed metal precursor solution is explored. The initial precursor solutions contained varying ratios of gold and copper, gold and nickel, and finally a ternary solution of gold, copper, and nickel. The amount of gold was kept constant, while the amount of copper and/or nickel was sequentially increased. Two separate synthesis processes were tested, the traditional Turkevich method involving trisodium citrate and another chemical reduction method involving sodium borohydride. The particle size and chemical composition of the synthesized particles were characterized using TEM, DLS and ICP-OES. It was determined that gold nanoparticles still formed at a ratio of 1 to 2 gold to copper while using trisodium citrate or sodium borohydride as the reducing agent. The same limiting ratio was observed for the gold to nickel reactions in the presence of either trisodium citrate or sodium borohydride. The ternary mixture limit for gold nanoparticle formation was a molar ratio of 1 to 1 to 1 gold to copper to nickel. The repeatability and stability results for the optimized binary reaction conditions indicate that using sodium borohydride as the reducing agent consistently produces more stable particle suspensions. Quantifying the environmental impact using green chemistry metrics indicate the Turkevich reactions for the optimized reactions have the lower environmental factors.
APA, Harvard, Vancouver, ISO, and other styles
7

Glatz, Christoph Johannes Germund. "From aminopyridinato complexes via metal containing SiCN precursor ceramics to heterogeneous recyclable oxidation catalysts." kostenfrei, 2009. http://opus.ub.uni-bayreuth.de/volltexte/2009/599/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Rehermann, Carolin [Verfasser]. "Exploring the Precursor-Process-Property Space in Metal Halide Perovskite Thin-Films / Carolin Rehermann." Berlin : Humboldt-Universität zu Berlin, 2021. http://d-nb.info/1238073964/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Choujaa, Hamid. "Synthesis of novel single-source precursors for CVD of mixed-metal tungsten oxide." Thesis, University of Bath, 2008. https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.478944.

Full text
Abstract:
There is a considerable interest in the use of tungsten oxide in the research and development of new materials and devices, such as gas sensors and as photocatalysts. In order to improve the photocatalytic properties of WO3, its combination with metals which allows the preparation of WMxOy materials are believed to be promising photocatalysts under visible light. The present work deals with the synthesis of homo- and hetero-metallic tungsten alkoxide and amide compounds using the single source precursor approach for potential chemical vapour deposition precursors of mixed-metal oxide films.
APA, Harvard, Vancouver, ISO, and other styles
10

Yu, Zexin. "Development and characterization of metal oxide semiconductor films deposited by solution precursor thermal spray process." Thesis, Bourgogne Franche-Comté, 2018. http://www.theses.fr/2018UBFCA036.

Full text
Abstract:
Les procédés de photodégradation, de conversion photocatalytique du CO2 et la technologie des supercondensateurs représentent des options intéressantes pour palier aux problèmes environnementaux et pour apporter des réponses à la crise énergétique. Dans ces trois domaines, les matériaux à base d'oxydes métalliques sont très prometteurs. Cependant, les voies classiques (c'est-à-dire par voies hydrothermales ou de sol-gels) présentent un certain nombre d’inconvénients tels que leur longues durées de préparation et leurs rendements limités. En outre, la mise en oeuvre de nanopoudres suppose une opération de post-filtration dans les procédés de photodégradation et l’utilisation supplémentaire d’un liant dans la confection d’électrodes de supercondensateurs, ce qui non seulement réduit les performances respectives de ces deux procédés mais entrave également leur développement au niveau industriel. Dans cette thèse, les technologies SPPS (Solution Precursor Plasma Spray) et SPFS (Solution Precursor Flame Spray) ont été introduites pour préparer des films d'oxydes métalliques à base de ZnO, en bénéficiant des avantages de rapidité et simplicité de ces techniques de formation de dépôts. Les films ainsi obtenues ont ensuite été testés dans des expériences de photodégradation, de conversion photocatalytique du CO2 et sous forme de supercondensateurs. Tout d'abord, à notre connaissance, c'est la première fois que l'on synthétise directement des nanostructures de ZnO (par exemple des nanotubes ou des nanofils) par des procédés SPPS. Ces films nanostructurés et hiérarchisés présentent non seulement une croissance préférentielle le long du plan cristallin (002), mais contiennent aussi des lacunes d'oxygène dans leurs réseaux. La mise en œuvre de simulations DFT a permis de proposer un mécanisme possible de croissance des nanostructures de ZnO lors de leur synthèse par la voie SPPS. Deuxièmement, divers films “composites”, constitués de ZnO et d’un second oxide “MO” ont également été préparés par SPPS afin de réduire les bandes d'énergie interdites. Dans cette partie de la thèse, nous avons étudié l’effet du rapport molaire MO/ZnO sur la structure des films MO/ZnO obtenus (M = Mn et Cu); nous avons aussi préparé, par cette nouvelle méthode, des films fins CuO/ZnO et CeO2/ZnO ainsi que des films de ZnO “décorés”. Troisièmement, des films mettant en œuvre des structures du type spinelle (tels que les oxydes mixtes ZnFe2O4, NiCo2O4, ZnCo2O4 et Co3O4) ont également été synthétisés et déposés à l’aide des techniques SPPS et SPFS, ceci en raison de leur grand intérêt pour les applications susmentionnées. Il a été constaté que les structures obtenues sont très sensibles aux rapports MO/ZnO et que les morphologies de surface dépendent davantage des paramètres d'injection des solutions. En outre, la puissance de la torche joue un rôle plus critique dans la synthèse in situ de la phase spinelle binaire que la température de préchauffage du substrat. Enfin, la voie de préparation par SPPS favorise la formation de dépôts de textures floconneuses, notamment dans le cas des films de NiCo2O4 et Co3O4, tandis que des particules de formes sphériques ont été plutôt observées dans les échantillons préparés par la voie SPFS
The fields of research dealing with photodegradation, photocatalytic conversion of CO2 and supercapacitors are important to address environmental problems and respond to the energy crisis. Metal oxides are promising materials in these three domains. However, the conventional routes (i.e. hydrothermal, sol-gel) suffer from major deficiencies, namely their multi-step natures, their long preparation duration and small-scaled yields. Moreover, the usage of nanopowders implies a post-filtration operation at the end of the photodegradation processes and requires an additional binder in supercapacitor electrodes. In this thesis, “Solution Precursor Plasma Spray” (SPPS) and “Solution Precursor Flame Spray” (SPFS) technologies have been introduced to develop metal oxide films in view of the three aforementioned applications, benefiting from the facility and rapidity advantages of this one-step process.Firstly, to our best knowledge, it is the first time that films composed by ZnO nanostructures (e.g. nanorods, nanowires) are directly synthesized via a SPPS process. These hierarchical ZnO nanostructured films not only exhibit preferential orientation growth along the (002) crystal plane, but also feature in-situ oxygen vacancies. As a result, a possible growth mechanism of ZnO nanostructures via SPPS route was proposed.Secondly, various metal oxides composite films containing ZnO and a second metal oxide were also prepared by SPPS in an effort to narrow the energy bandgaps. In this work, not only the effect of the molar CuO/ZnO and MnO/ZnO ratio was investigated, but also laminated CuO/ZnO and CeO2/ZnO films and CuO, Co3O4 and Fe2O3 decorated ZnO nanorods films were pioneeringly deposited via this novel route.Thirdly, films involving spinel-type materials (including ZnFe2O4, NiCo2O4, ZnCo2O4 and Co3O4) were also synthesized and deposited by the SPPS and SPFS technologies, owing to their high-interest in the aforementioned applications. We found that the phase compositions are more sensitive to the Fe/Zn and Ni/Co ratios and that the surface morphologies are more dependent on the patterns of the solution injection. In addition, the power of the torch plays a more critical role on the in-situ synthesis of binary spinel phase. Besides, the SPPS route promotes the formation of flake-like particles both in the NiCo2O4 and Co3O4 films, while sphere-like particles were observed in the SPFS-prepared samples.Finally, some as-prepared films were selected to evaluate their performances within the three applications. On the one hand, Orange II was successfully (100%) degraded within 2h under UV irradiation and about 85% was removed within 6h under visible light irradiation. On the other hand, Co3O4 samples exhibited specific capacitances up to 1190 F g−1 with a retention capacity of 136% after 2500 cycles at a 20 mV/s scanning rate in 2 M KOH electrolyte. Finally, when using ZnCo2O4 as photocatalyst, CO2 was converted into CO by visible light irradiation with a maximum turnover number as high as 61.38 and a selectivity as high as 90.5 %.Overall, this work not only improves the performances of the three studied processes thanks to the use of novel, fast preparation methods, but also suggests that “Solution Precursor Thermal Spray” should be a highly promising technology for further, alternative functional applications that involve finely structured metal oxides film
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Metal precursor"

1

Chauhan, F. S. Metal complexes from R3PX2 precursors. Manchester: UMIST, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Jackson, Gerald G. Precursors of an African genesis model of helping. Binghamton, N.Y: Global Publications, Binghamton University, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Fournier-Bidoz, Sébastien. Synthesis of a new class of oxygen - free CVD precursors for coinage metals. Ottawa: National Library of Canada, 2001.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

A, Fischer Roland, ed. Precursor chemistry of advanced materials: CVD, ALD and nanoparticles. Berlin: Springer, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Leonard, Greg. Metals. Oxford University Press, 2018. http://dx.doi.org/10.1093/oso/9780190656010.003.0011.

Full text
Abstract:
Metals and metal products have long been traded on commodity markets. Trading in futures and options, as well as forwards, swaps, and other derivatives, is available for about a dozen metals. Traditionally metals have been divided into precious metals and base metals, used in industrial applications. Today the precious metals silver, platinum, and palladium are also primarily used in industrial applications, while gold is still primarily used as a store of value. CME Group exchanges and the London Metal Exchange are the best-known exchanges for metals derivatives trading. In recent years, trading volumes in all metals on exchanges in China have increased tremendously, and these exchanges have begun to contribute to global price discovery. Steel has the largest production of the base metals, but on-exchange trading of steel and its precursor iron ore has become significant only in the last few years, with trading focused in China.
APA, Harvard, Vancouver, ISO, and other styles
6

F, Hepp Aloysius, and United States. National Aeronautics and Space Administration., eds. Synthesis and structures of metal chalcogenide precursors. [Washington, DC]: National Aeronautics and Space Administration, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Kirkby, Scott J. Spectroscopy and crystallography of metal germanium chalcogenide framework materials and precursors. 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

F, Hay Dale, and Angold Adrian, eds. Precursors and causes in development and psychopathology. Chichester: J. Wiley, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Fournier-Bidoz, Sebastien. Synthesis of a new class of oxygen-free CVD precursors for coinage metals. 2003, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Deegan, Patrick. Porphyria. Edited by Patrick Davey and David Sprigings. Oxford University Press, 2018. http://dx.doi.org/10.1093/med/9780199568741.003.0179.

Full text
Abstract:
This chapter discusses six diseases caused by inborn errors of metabolism affecting the biosynthesis of haem. Haem is a tetracyclic metal-binding compound involved in oxygen transport (in haemoglobin and myoglobin) and redox reactions (e.g. in the cytochrome P450 system). Each of these conditions is caused by a single gene defect in one of the enzymes involved in the biosynthesis of haem. Inheritance is usually autosomal dominant with incomplete penetrance. The enzyme defect results in disease, not as a result of deficiency of the reaction product, but as a result of accumulation of precursors. Early, soluble precursors, 5-aminolaevulinic acid, and porphobilinogen (not porphyrins as such) are neurotoxic and, when present in great excess, as occurs when flux through the haem synthetic pathway is increased in response to particular medications or hormones, lead to acute neurovisceral crises. Later cyclical precursors (porphyrins) in the pathway are also water soluble and excreted in urine, but are susceptible to activation by electromagnetic radiation in the visible spectrum and are converted to free-radical metabolites that cause pain, inflammation, and tissue damage in the skin. The final haem precursors (also porphyrins) are hydrophobic and excreted in the bile and faeces and are also activated by light to toxic metabolites.
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Metal precursor"

1

Baba, Alafara A., Sadisu Girigisu, Mustapha A. Raji, Abdullah S. Ibrahim, Kuranga I. Ayinla, Christianah O. Adeyemi, Aishat Y. Abdulkareem, Mohammed J. Abdul, and Abdul G. F. Alabi. "Preparation of High Grade Ammonium Metatungstate (AMT) as Precursor for Industrial Tungsten Catalyst." In Rare Metal Technology 2021, 73–78. Cham: Springer International Publishing, 2021. http://dx.doi.org/10.1007/978-3-030-65489-4_9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Rosenberg, Edward, Bruce Novak, and W. Edward Lindsell. "Mercury-Bridged Transition Metal Cluster Derivatives and their Precursor." In Inorganic Syntheses, 328–35. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2007. http://dx.doi.org/10.1002/9780470132579.ch60.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Bayer, Thomas A., and Gerd Multhaup. "The Role of Metal Ions in the Amyloid Precursor Protein and in Alzheimer's Disease." In Neurodegenerative Diseases and Metal Ions, 115–23. Chichester, UK: John Wiley & Sons, Ltd, 2006. http://dx.doi.org/10.1002/0470028114.ch5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Holstein, Nadja, Katharina Wiegandt, Florian Holleyn, Jochen Kriegesmann, Michael R. Kunze, Joachim Scholz, and Rolf Janssen. "The Role of Milling Liquids in Processing of Metal-Ceramic-Precursor Powders." In Advanced Processing and Manufacturing Technologies for Structural and Multifunctional Materials VI, 135–45. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2012. http://dx.doi.org/10.1002/9781118217528.ch15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Li, Fei, Xiao Ping Zou, Jin Cheng, Hong Dan Zhang, Peng Fei Ren, Guang Zhu, and Mao Fa Wang. "Raman Spectroscopic Characterization of Carbon Nanofibers Obtained by Using Metal Chloride as Catalyst Precursor." In Materials Science Forum, 1387–90. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-462-6.1387.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Bartos, B., H. J. Freund, H. Kuhlenbeck, and M. Neumann. "Adsorption and Reaction of CO2 on Metal Surfaces. Detection of an Intrinsic Precursor to Dissociation." In Kinetics of Interface Reactions, 164–74. Berlin, Heidelberg: Springer Berlin Heidelberg, 1987. http://dx.doi.org/10.1007/978-3-642-72675-0_13.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Miura, H., S. S. Feng, R. Saymeh, and R. D. Gonzalez. "The Effect of Support-Metal Precursor Interactions on the Surface Composition of Supported Bimetallic Clusters." In Catalyst Characterization Science, 294–304. Washington, DC: American Chemical Society, 1985. http://dx.doi.org/10.1021/bk-1985-0288.ch025.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Sudarshan, Rishi Raj. "Ceramic Dispersions in Metal Castings Created by Direct Injection of a Liquid Organic Precursor into the Melt." In Magnesium Technology 2014, 477–83. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2014. http://dx.doi.org/10.1002/9781118888179.ch87.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Sudarshan, Rishi Raj. "Ceramic Dispersions in Metal Castings Created by Direct Injection of a Liquid Organic Precursor into the Melt." In Magnesium Technology 2014, 479–83. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-48231-6_87.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Yamaguchi, Syuhei, Daniel Sánchez-Rodríguez, and Hidenori Yahiro. "Catalytic Activity of Liquid-Phase Reaction Over Perovskite-Type Oxide Catalyst Synthesized from Heteronuclear Metal Cyano Complex Precursor." In Ceramic Transactions Series, 165–78. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2018. http://dx.doi.org/10.1002/9781119494096.ch17.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Metal precursor"

1

Endres, Derek, and Sandip Mazumder. "Computational Study of Pulsed Metal-Organic Chemical Vapor Deposition of Aluminum Nitride." In ASME 2011 International Mechanical Engineering Congress and Exposition. ASMEDC, 2011. http://dx.doi.org/10.1115/imece2011-65525.

Full text
Abstract:
Particles of aluminum nitride (AlN) have been observed to form during epitaxial growth of AlN films by metal organic chemical vapor deposition (MOCVD). Particle formation is undesirable because particles do not contribute to the film growth, and are detrimental to the hydraulic system of the reactor. It is believed that particle formation is triggered by adducts that are formed when the group-III precursor, namely tri-methyl-aluminum (TMAl), and the group-V precursor, namely ammonia (NH3), come in direct contact in the gas-phase. Thus, one way to eliminate particle formation is to prevent the group-III and the group-V precursors from coming in direct contact at all in the gas-phase. In this article, pulsing of TMAl and NH3 is numerically investigated as a means to reduce AlN particle formation. The investigations are conducted using computational fluid dynamics (CFD) analysis with the inclusion of detailed chemical reaction mechanisms both in the gas-phase and at the surface. The CFD code is first validated for steady-state (non-pulsed) MOCVD of AlN against published data. Subsequently, it is exercised for pulsed MOCVD with various pulse widths, precursor gas flow rates, wafer temperature, and reactor pressure. It is found that in order to significantly reduce particle formation, the group-III and group-V precursors need to be separated by a carrier gas pulse, and the carrier gas pulse should be at least 5–6 times as long as the precursor gas pulses. The studies also reveal that with the same time-averaged precursor gas flow rates as steady injection (non-pulsed) conditions, pulsed MOCVD can result in higher film growth rates because the precursors are incorporated into the film, rather than being wasted as particles. The improvement in growth rate was noted for both horizontal and vertical reactors, and was found to be most pronounced for intermediate wafer temperature and intermediate reactor pressure.
APA, Harvard, Vancouver, ISO, and other styles
2

Zahoor, Asima, and Abdel-Hamid I. Mourad. "Ultrasound Assisted Production of Metal Foam From Polyurethane Precursor." In ASME 2021 International Mechanical Engineering Congress and Exposition. American Society of Mechanical Engineers, 2021. http://dx.doi.org/10.1115/imece2021-73192.

Full text
Abstract:
Abstract Metal foam is a novel class of metals that is inspired by naturally occurring, functionally graded, cellular structures like wood and bones. The properties of metal foam are so diverse that they can be tailored to suit the particular need, hence the study of metal foams has become attractive to researchers and efforts are being made to optimize the methodology to develop the metal foam. Most of the production methods cannot be widely utilized because of difficult process control and high production costs. One of the most economical ways to produce metal foam is adopting conventional electro deposition technique. The electro deposition technique starts from first metalizing the non-conducting polymeric foam and then electrically depositing metal onto this metallized precursor foam with open cells and later the precursor is removed by the sintering process. The main hindrance in this process is that foam being multi layered, a uniform deposition of the metal in the inner layers of the foam was not achieved. After sintering it was found that the foam sample turned out hollow at the center, due to lack or very less deposition of the metal. Experiments aiming to overcome this problem of non-uniform deposition of the metal in the inner layers of the precursor were conducted. It was found that mechanical agitation in the form of low frequency ultrasound promoted the uniform electro deposition throughout the metalized multilayered precursor. Finally, desired strength foam was produced.
APA, Harvard, Vancouver, ISO, and other styles
3

Verma, Manoj, Annu Dahiya Kathy, and P. Senthil Kumar. "Metal precursor induced shape controlled synthesis of gold nanostructures." In 2ND INTERNATIONAL CONFERENCE ON CONDENSED MATTER AND APPLIED PHYSICS (ICC 2017). Author(s), 2018. http://dx.doi.org/10.1063/1.5032560.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Ray, Valery. "Fluorocarbon Precursor for High Aspect Ratio via Milling in Focused Ion Beam Modification of Integrated Circuits." In ISTFA 2004. ASM International, 2004. http://dx.doi.org/10.31399/asm.cp.istfa2004p0534.

Full text
Abstract:
Abstract Gas Assisted Etching (GAE) is the enabling technology for High Aspect Ratio (HAR) circuit access via milling in Focused Ion Beam (FIB) circuit modification. Metal interconnect layers of microelectronic Integrated Circuits (ICs) are separated by Inter-Layer Dielectric (ILD) materials, therefore HAR vias are typically milled in dielectrics. Most of the etching precursor gases presently available for GAE of dielectrics on commercial FIB systems, such as XeF2, Cl2, etc., are also effective etch enhancers for either Si, or/and some of the metals used in ICs. Therefore use of these precursors for via milling in dielectrics may lead to unwanted side effects, especially in a backside circuit edit approach. Making contacts to the polysilicon lines with traditional GAE precursors could also be difficult, if not impossible. Some of these precursors have a tendency to produce isotropic vias, especially in Si. It has been proposed in the past to use fluorocarbon gases as precursors for the FIB milling of dielectrics. Preliminary experimental evaluation of Trifluoroacetic (Perfluoroacetic) Acid (TFA, CF3COOH) as a possible etching precursor for the HAR via milling in the application to FIB modification of ICs demonstrated that highly enhanced anisotropic milling of SiO2 in HAR vias is possible. A via with 9:1 aspect ratio was milled with accurate endpoint on Si and without apparent damage to the underlying Si substrate.
APA, Harvard, Vancouver, ISO, and other styles
5

Aizawa, Tatsuhiko. "Shock induced reaction to refractory metal disilicides from MA precursor." In Shock compression of condensed matter. AIP, 2000. http://dx.doi.org/10.1063/1.1303583.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Tiwari, Manish, Constantine Megaridis, Prodyut Majumder, Christos Takoudis, John Belot, Mindi Xu, James McAndrew, and Roy Chamcharas. "Metal Line Interconnects Produced by Means of Printable Copper Precursor Solutions." In ASME 2006 International Mechanical Engineering Congress and Exposition. ASMEDC, 2006. http://dx.doi.org/10.1115/imece2006-16172.

Full text
Abstract:
Patterned metal films are essential to a wide range of applications, from printed circuits and thin film displays in large area electronics, to electrodes in biomedical implants. Current fabrication techniques are usually photolithographic, and rely on either patterning a blanket metal film or patterning a planar substrate into which the metal is deposited, followed by polishing away excess metal (the "Damascene" process). However, photolithographic techniques are expensive and more suitable for relatively small substrates. Conventional printing techniques such as inkjet, flexographic, offset and screen printing for both printable metal films and emergent organic elements, have lower resolution, but offer advantages of flexibility, overall cost savings, scalability to large substrates or those with complex topologies. In addition, these methods have environmental benefits [1].
APA, Harvard, Vancouver, ISO, and other styles
7

Matsui, Takashi, Haruki Matsuno, Hisashi Kotegawa, Hideki Tou, Koichiro Suekuni, Takumi Hasegawa, Hiromi I. Tanaka, and Toshiro Takabatake. "Precursor of Metal–Semiconductor Transition in Tetrahedrite Probed by Cu-NMR." In Proceedings of the International Conference on Strongly Correlated Electron Systems (SCES2019). Journal of the Physical Society of Japan, 2020. http://dx.doi.org/10.7566/jpscp.30.011075.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Teeter, G., H. Du, JE Leisch, M. Young, F. Yan, SW Johnston, P. Dippo, et al. "Combinatorial study of thin-film Cu2ZnSnS4 synthesis via metal precursor sulfurization." In 2010 35th IEEE Photovoltaic Specialists Conference (PVSC). IEEE, 2010. http://dx.doi.org/10.1109/pvsc.2010.5616874.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Barstow, Sean J., Augustin Jeyakumar, and Clifford L. Henderson. "Direct photopatterning of metal oxide materials using photosensitive organometallic precursor films." In SPIE's 27th Annual International Symposium on Microlithography, edited by Roxann L. Engelstad. SPIE, 2002. http://dx.doi.org/10.1117/12.472317.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Hayashida, T., K. Endo, Y. X. Liu, T. Matsukawa, S. Ouchi, K. Sakamoto, J. Tsukada, et al. "Superiority of ALD TiN with TDMAT Precursor for Metal-Gate MOSFET." In 2009 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2009. http://dx.doi.org/10.7567/ssdm.2009.p-1-10.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Metal precursor"

1

Gonzalez, R. D. The formation of supported bimetallic clusters: The effect of support-metal precursor interactions. Final report. Office of Scientific and Technical Information (OSTI), July 1994. http://dx.doi.org/10.2172/10163134.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Glossmann, Tobias, Richard Laine, and Wei Lai. Scalable Polymer Precursor Based LiPON Type Li-Metal Interface Enabled High Performance Li-Sulfur Battery. Office of Scientific and Technical Information (OSTI), December 2019. http://dx.doi.org/10.2172/1580077.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Uhlmann, Donald R. Ceramics from Metal-Organic Precursors. Fort Belvoir, VA: Defense Technical Information Center, September 1997. http://dx.doi.org/10.21236/ada330596.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Shore, Sheldon G. Derivatives of Boranes, Possible Metal and Boride and Boron Nitride Precursors. Fort Belvoir, VA: Defense Technical Information Center, September 1991. http://dx.doi.org/10.21236/ada244813.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Winter, Charles H. New Chemical Precursors for the Growth of Ferroelectric and Mid-Valent Metal Oxide Films. Fort Belvoir, VA: Defense Technical Information Center, February 2014. http://dx.doi.org/10.21236/ada603156.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Flynn, George W. Atomic Scale Imaging of the Electronic Structure and Chemistry of Graphene and Its Precursors on Metal Surfaces. Office of Scientific and Technical Information (OSTI), February 2015. http://dx.doi.org/10.2172/1170229.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Shafarman, William. Improved Performance of Cu(InGa)(SeS)2 PV Modules Using the Reaction of Metal Precursors. Office of Scientific and Technical Information (OSTI), December 2020. http://dx.doi.org/10.2172/1771314.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Matthew Edward Thomas. AN EVALUATION OF ABSORPTION SPECTROSCOPY TO MONITOR YBa{sub 2}Cu{sub 3}O{sub 7{minus}x} PRECURSORS FOR METAL ORGANICS CHEMICAL VAPOR DEPOSITION PROCESSING. Office of Scientific and Technical Information (OSTI), May 1999. http://dx.doi.org/10.2172/761661.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography