Academic literature on the topic 'Low Thermal Budget'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Low Thermal Budget.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Low Thermal Budget"

1

Sharangpani, R., K. C. Cherukuri, and R. Singh. "Low thermal budget processing of organic dielectrics." IEEE Transactions on Electron Devices 43, no. 7 (July 1996): 1168–70. http://dx.doi.org/10.1109/16.502430.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Pradeepkumar, Maurya Sandeep, Harsh Vardhan Singh, Sooraj Kumar, Joysurya Basu, and Md Imteyaz Ahmad. "Low thermal budget processing of CdS thin films." Materials Letters 280 (December 2020): 128560. http://dx.doi.org/10.1016/j.matlet.2020.128560.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Bhat, N., A. W. Wang, and K. C. Saraswat. "Rapid thermal anneal of gate oxides for low thermal budget TFT's." IEEE Transactions on Electron Devices 46, no. 1 (1999): 63–69. http://dx.doi.org/10.1109/16.737442.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Michael, Aron, and Chee Yee Kwok. "Evaporated Thick Polysilicon Film With Low Stress and Low Thermal Budget." Journal of Microelectromechanical Systems 22, no. 4 (August 2013): 825–27. http://dx.doi.org/10.1109/jmems.2013.2248129.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Mazzamuto, Fulvio, Sebastien Halty, Hideaki Tanimura, and Yoshihiro Mori. "Low Thermal Budget Ohmic Contact Formation by Laser Anneal." Materials Science Forum 858 (May 2016): 565–68. http://dx.doi.org/10.4028/www.scientific.net/msf.858.565.

Full text
Abstract:
In this work, we demonstrate the possibility to achieve an ohmic contact using a low thermal budget applicable to backside processing after wafer thinning. The process window for laser annealing as a function of the thinning process is investigated. By laser melt annealing, we demonstrate the possibility for different silicide phases from pure nickel deposition on thinned 4H-SiC, formation of uniform carbon nanoclusters at the metal/SiC interface and recovery of thinning-induced defects. This has been demonstrated as a function of different thinning process and surface conditions.
APA, Harvard, Vancouver, ISO, and other styles
6

König, U., and J. Hersener. "Needs of Low Thermal Budget Processing in SiGe Technology." Solid State Phenomena 47-48 (July 1995): 17–32. http://dx.doi.org/10.4028/www.scientific.net/ssp.47-48.17.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Kang, Il-Suk, Sung-Hun Yu, Hyun-Sang Seo, Jeong-Hun Kim, Jun-Mo Yang, Wook-Jung Hwang, and Chi Won Ahn. "Low Thermal Budget Crystallization of Amorphous Silicon by Nanoclusters." Electrochemical and Solid-State Letters 12, no. 9 (2009): H319. http://dx.doi.org/10.1149/1.3152594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Abbadie, A., J. M. Hartmann, P. Holliger, M. N. Séméria, P. Besson, and P. Gentile. "Low thermal budget surface preparation of Si and SiGe." Applied Surface Science 225, no. 1-4 (March 2004): 256–66. http://dx.doi.org/10.1016/j.apsusc.2003.10.018.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Simon, Daniel K., Thomas Henke, Paul M. Jordan, Franz P. G. Fengler, Thomas Mikolajick, Johann W. Bartha, and Ingo Dirnstorfer. "Low-thermal budget flash light annealing for Al2O3surface passivation." physica status solidi (RRL) - Rapid Research Letters 9, no. 11 (October 16, 2015): 631–35. http://dx.doi.org/10.1002/pssr.201510306.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Noh, Joo Hyon, Pooran C. Joshi, Teja Kuruganti, and Philip D. Rack. "Pulse Thermal Processing for Low Thermal Budget Integration of IGZO Thin Film Transistors." IEEE Journal of the Electron Devices Society 3, no. 3 (May 2015): 297–301. http://dx.doi.org/10.1109/jeds.2014.2376411.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Low Thermal Budget"

1

Schiz, Frank Jochen Wilhelm. "The effect of fluorine in low thermal budget polysilicon emitters for SiGe heterojunction bipolar transistors." Thesis, University of Southampton, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.287345.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Krockert, Katja. "Development and characterization of a low thermal budget process for multi-crystalline silicon solar cells." Doctoral thesis, Technische Universitaet Bergakademie Freiberg Universitaetsbibliothek "Georgius Agricola", 2016. http://nbn-resolving.de/urn:nbn:de:bsz:105-qucosa-192742.

Full text
Abstract:
Higher conversion efficiencies while reducing costs at the same time is the ultimate goal driving the development of solar cells. Multi-crystalline silicon has attracted considerable attention because of its high stability against light soaking. In case of solar grade multi-crystalline silicon the rigorous control of metal impurities is desirable for solar cell fabrication. It is the aim of this thesis to develop a new manufacturing process optimized for solar-grade multi-crystalline silicon solar cells. In this work the goal is to form solar cell emitters in silicon substrates by plasma immersion ion implantation of phosphine and posterior millisecond-range flash lamp annealing. These techniques were chosen as a new approach in order to decrease the production cost by reducing the amount of energy needed during fabrication. Therefore, this approach is called “Low Thermal Budget” process. After ion implantation the silicon surface is strongly disordered or amorphous up to the depth of the projected ion range. Therefore, subsequent annealing is required to remove the implantation damage and activate the doping element. Flash lamp annealing in the millisecond-range is demonstrated here as a very promising technique for the emitter formation at an overall low thermal budget. During flash lamp annealing, only the wafer surface is heated homogeneously to high temperatures at a time scales of ms. Thereby, implantation damages are annealed and phosphorous is electrically activated. The variation of pulse time allows to modify the degree of annealing of the bulk region to some extent as well. This can have an influence on the gettering behavior of metallic impurities. Ion implantation doping got in distinct consideration for doping of single-crystalline solar cells very recently. The efficient doping of multi-crystalline silicon remains the main challenge to reduce costs. The influence of different annealing techniques on the optical and electrical properties of multi-crystalline silicon solar cells was investigated. The Raman spectroscopy showed that the silicon surface is amorphous after ion implantation. It could be demonstrated that flash lamp annealing at 1000 °C for 3 ms even without preheating is sufficient to recrystallize implanted silicon. The sheet resistance of flash lamp annealed samples is in the range of about 60 Ω/□. Without surface passivation the minority carrier diffusion length in the flash lamp annealed samples is in the range of 85 µm. This is up to one order of magnitude higher than that observed for rapid thermal or furnace annealed samples. The highest carrier concentration and efficiency as well as the lowest resistivity were obtained after annealing at 1200 °C for 20 ms for both, single- and multi-crystalline silicon wafers. Photoluminescence results point towards phosphorous cluster formation at high annealing temperatures which affects metal impurity gettering within the emitter. Additionally, in silicon based solar cells, hydrogen plays a fundamental role due to its excellent passivation properties. The optical and electrical properties of the fabricated emitters were studied with particular interest in their dependence on the hydrogen content present in the samples. The influence of different flash lamp annealing parameters and a comparison with traditional thermal treatments such as rapid thermal and furnace annealing are presented. The samples treated by flash lamp annealing at 1200 °C for 20 ms in forming gas show sheet resistance values in the order of 60 Ω/□, and minority carrier diffusion lengths in the range of ~200 µm without the use of a capping layer for surface passivation. These results are significantly better than those obtained from rapid thermal or furnace annealed samples. The simultaneous implantation of hydrogen during the doping process, combined with optimal flash lamp annealing parameters, gave promising results for the application of this technology in replacing the conventional phosphoroxychlorid deposition and diffusion.
APA, Harvard, Vancouver, ISO, and other styles
3

Saidi, Bilel. "Metal gate work function modulation mechanisms for 20-14 nm CMOS low thermal budget integration." Toulouse 3, 2014. http://www.theses.fr/2014TOU30300.

Full text
Abstract:
Afin de poursuivre la miniaturisation des dispositifs CMOS, l'empilement HfO2/Métal a remplacé l'empilement SiO2/polySi. Cependant, la diffusion incontrôlée des espèces chimiques dans ces nouveaux empilements fabriqués avec un fort budget thermique compromet l'obtention des travaux de sortie (EWF) et des épaisseurs d'oxyde équivalent (EOT) définis par l'ITRS. Une solution consiste à utiliser une intégration à plus bas budget thermique. Avec cette nouvelle approche, l'objectif de ce travail de thèse était de comprendre les paramètres physiques permettant d'obtenir une EOT<1nm et des EWF permettant une co-intégration nMOS et pMOS pour des nœuds futurs CMOS 20-14 nm. En nous appuyant sur différents méthodes d'analyse physico-chimique (STEM EDX, TOF-SIMS et XPS), la distribution spatiale des éléments et leurs liaisons chimiques au sein d'empilements de taille nanométrique ont été discutées et, sur la base de considérations thermodynamiques, corrélées aux valeurs mesurées de l'EOT et EWF. Nous avons démontré pour la première fois un écart de ~0. 8eV entre une électrode TiAlNx déficitaire et riche en azote, déposée sur HfO2. Ces résultats ont été obtenus après avoir identifié les mécanismes qui contrôlent l'EWF et l'EOT dans des empilements plus simples TiN/Ti, Al et TiAl. Les grilles HfO2/TiAlNx ne sont cependant pas stables thermiquement. Nous avons alors proposé deux systèmes métalliques plus simples et plus stables utilisant des alliages TaNix et NiTix obtenus par interdiffusion dans les empilements HfO2/Ta/Ni et de HfO2/Ni/Ti. Ces structures de grilles à base de Ni apparaissent prometteuses pour une co-intégration CMOS à bas budget thermique
To continue CMOS scaling, the HfO2/metal gate stack replaced the historical SiO2/PolySi gate stack. But the uncontrolled interdiffusion and reactivities of the new gate materials integrated with the classical high thermal budget approach appear to be a roadblock to reach the effective work function (EWF) and equivalent oxide thickness (EOT) ITRS targets. One solution consisted in implementing an approach with a lower thermal budget. Using this new approach, the aim of this thesis work was to understand the physical mechanisms, which enable to reach an EOT<1nm and an EWF relevant for nMOS and pMOS co-integration as required for the next 20-14nm CMOS nodes. Using spatially resolved TEM/EDX analyses and macroscopic TOF-SIMS and XPS techniques, elemental distributions and chemical bonds across nanometric-sized stacks were discussed and, based on thermodynamic considerations, correlated with the measured EWF and EOT. We showed for the first time that the modulation of nitrogen during TiAlN deposition on HfO2 results in a ~0. 8eV EWF shift between the N-poor and N-rich HfO2/TiAlNx electrodes. The TiAlN complex system was understood after the identification of the EWF and EOT modulation mechanisms in the simple gate stacks TiN/Ti, Al or TiAl. Although TiAlNx electrodes define the best compromise for a variable EWF with a sub-nm EOT, it exhibits a low thermal stability. Therefore, we investigated two simpler metallic and stable systems using TaNix and NiTix alloys resulting from thermally assisted Ni-Ta and Ni-Ti interdiffusion in HfO2/Ta/Ni and HfO2/Ni/Ti stacks, respectively. These Ni-based electrodes are shown to be promising for a low thermal budget CMOS co-integration
APA, Harvard, Vancouver, ISO, and other styles
4

Krockert, Katja [Verfasser], Hans-Joachim [Akademischer Betreuer] Möller, Hans-Joachim [Gutachter] Möller, and Gerhard [Gutachter] Gobsch. "Development and characterization of a low thermal budget process for multi-crystalline silicon solar cells : Development and characterization of a low thermal budget process for multi-crystalline silicon solar cells / Katja Krockert ; Gutachter: Hans-Joachim Möller, Gerhard Gobsch ; Betreuer: Hans-Joachim Möller." Freiberg : Technische Universitaet Bergakademie Freiberg Universitaetsbibliothek "Georgius Agricola", 2016. http://d-nb.info/1220912336/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Gregory, Hayden J. "Low thermal budget issues for Si/Si←1←-←xGe←x heterojunction bipolar transistors and selective epitaxial Si bipolar transistors." Thesis, University of Southampton, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.361660.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

BIETTI, SERGIO. "Nanostructured III-V epilayers on silicon substrate for optoelectronic applications." Doctoral thesis, Università degli Studi di Milano-Bicocca, 2011. http://hdl.handle.net/10281/18979.

Full text
Abstract:
The integration of III-V devices on Si substrates would allow the fabrication of specialized devices for optoelectronics and photonics directly on the highly refined silicon infrastructure, based on CMOS technology. In this work of thesis, Droplet Epitaxy technique is used for the low thermal budget fabrication of GaAs quantum nanostructures on silicon substrates through a Ge layer and for the fabrication of GaAs local artificial substrates directly on Si substrate. Quantum nanostructures grown on Si substrate through a Ge layer showed an intense photoluminescence emission, detectable up to room temperature and with a ratio between number of photon emitted and photogenerated carriers similar to the one obtained for GaAs quantum nanostructures grown by droplet epitaxy on GaAs substrate. GaAs local artificial substrates fabricated on Si showed high tunability in size and density, a size dispersion below 10%, a good crystalline quality and well defined shapes with a high aspect ratio.
APA, Harvard, Vancouver, ISO, and other styles
7

Chang, Chung-Yih, and 張忠義. "Low Temperature Electron Cyclotron Resonance Oxidation with Low Thermal Budget Annealing." Thesis, 1995. http://ndltd.ncl.edu.tw/handle/64384961082973421703.

Full text
Abstract:
碩士
國立交通大學
電子研究所
83
In this thesis,we successfully utilize the rapid thermal O2, N2, and N2O annealing technology to improve the quality of the ultra-low temperature (-20?C) electron cyclotron resonance (ECR) thin oxide. Among the three kinds of annealing technology, the N2O annealed oxide is found to have the better electrical characteristics due to the Si-N bonds in place of the weaker bonds at the Si/SiO2 interface. The breakdwon field( over 12.5 MV/cm), Dit (1.95e10cm-2eV-1) and leakage current are comparable to the furnace oxide. The material analyses, including the Fourier transform infrared spectrum analysis (FTIR), etch rate test, assure that the low thermal budget annealing indeed greatly improves the oxide quality. The relationship between the oxide thickness and growth time is well described by the Deal-Grove model. By way of the rapid thermal 40~O oxide, the characteristics of the ultra-thin oxide, such as the stress induced leakage current (SILC), quasi- breakdown phenomenon, the polarity dependence of the Qbd have been studied.
APA, Harvard, Vancouver, ISO, and other styles
8

Harn, Shyh-Chyang, and 韓士強. "A Study of Shallow Junction Formation by Using Low Thermal Budget." Thesis, 1999. http://ndltd.ncl.edu.tw/handle/78378584814180772272.

Full text
Abstract:
碩士
國立臺灣科技大學
電子工程系
87
The scaling of CMOS devices to satisfy deep submicrometer technology requirements involves several process adjustments . One of the main challenges is the formation of shallow junction . Low-energy ion implantation , in tandem with low-thermal budget annealing processes , allows us to form shallower junctions . To adopt a low thermal budget scheme , we employed long-time low-temperature furnace annealing and rapid thermal annealing(RTA)as an approach of activating the implanted dopants without significant diffusion and eliminating the implanted-induced defects . Moreover , various low thermal budget schemes have been performed to form shallow junctions . The first scheme is the low temperature furnace annealing . The second scheme is the low temperature furnace annealing followed by RTA . The third scheme is the RTA followed by low temperature furnace annealing . The fourth scheme is the low temperature furnace annealing followed by high temperature furnace annealing . In this thesis , the dopant activation and the electrical characteristics of junctions have also been investigated .
APA, Harvard, Vancouver, ISO, and other styles
9

Wang, Yu-Da, and 王裕達. "The fabrication and characterization of low-thermal-budget poly-Si TFTs." Thesis, 1996. http://ndltd.ncl.edu.tw/handle/63928685294274586306.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Huang, Tzu-En, and 黃子恩. "Visible and Far Infrared Laser Annealing-enabled Low Thermal Budget Ge Transistor." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/248766.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Low Thermal Budget"

1

Clarke, Andrew. Energy flow in organisms. Oxford University Press, 2017. http://dx.doi.org/10.1093/oso/9780199551668.003.0004.

Full text
Abstract:
An organism is an open thermodynamic system exchanging both energy and materials with its environment. Organisms exchange energy with their environment by radiation, conduction, convection and evaporation of water. The relative importance of these varies with the organism and its situation. Newton’s Law of Cooling is a simplification that is useful only for warm endotherms in a still, cool environment. For all other circumstances a full biophysical treatment is necessary. Flows of chemical potential energy can be captured by a balanced energy budget. A full description of the energy balance of an organism requires the coupling of a biophysical model of heat flow with an energy budget model. This combination provides a powerful tool for modelling the thermal and energetic niches of organisms, and to predict how these might change in the future.
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Low Thermal Budget"

1

Fair, Richard B. "Oxidation-Induced Defects and Effects in Silicon During Low Thermal-Budget Processing." In The Physics and Chemistry of SiO2 and the Si-SiO2 Interface, 459–68. Boston, MA: Springer US, 1988. http://dx.doi.org/10.1007/978-1-4899-0774-5_51.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Caymax, Matty R., and W. Y. Leong. "Low Thermal Budget Chemical Vapour Deposition Techniques for Si and SiGe." In Advanced Silicon and Semiconducting Silicon-Alloy Based Materials and Devices, 141–83. CRC Press, 2021. http://dx.doi.org/10.1201/9781003208860-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Haigh, Joanna D., and Peter Cargill. "The Earth’s Climate System." In The Sun's Influence on Climate. Princeton University Press, 2015. http://dx.doi.org/10.23943/princeton/9780691153834.003.0002.

Full text
Abstract:
This chapter focuses on solar radiation and its interaction with the terrestrial atmosphere in the context of the Earth's radiation budget and radiative forcing of climate, as well as its direct impact on atmospheric composition and temperature. The composition, temperature, and motion of Earth's atmosphere are determined by internal chemical and physical processes as well as by complex interactions with other parts of the climate system—notably the oceans, cryosphere and biosphere. On a global and annual average the solar energy absorbed by the Earth is balanced by thermal infrared radiation emitted to space. However, solar radiation absorption has a strong latitudinal variation, while the outgoing infrared radiation has only a weak latitudinal dependence. Thus there is a net surplus of radiative energy at low latitudes and a deficit at high latitudes.
APA, Harvard, Vancouver, ISO, and other styles
4

Rango, Albert, and Jerry Ritchie. "Applications of Remotely Sensed Data from the Jornada Basin." In Structure and Function of a Chihuahuan Desert Ecosystem. Oxford University Press, 2006. http://dx.doi.org/10.1093/oso/9780195117769.003.0019.

Full text
Abstract:
Like other rangelands, little application of remote sensing data for measurement and monitoring has taken place within the Jornada Basin. Although remote sensing data in the form of aerial photographs were acquired as far back as 1935 over portions of the Jornada Basin, little reliance was placed on these data. With the launch of Earth resources satellites in 1972, a variety of sensors have been available to collect remote sensing data. These sensors are typically satellite-based but can be used from other platforms including ground-based towers and hand-held apparatus, low-altitude aircraft, and high-altitude aircraft with various resolutions (now as good as 0.61 m) and spectral capabilities. A multispectral, multispatial, and multitemporal remote sensing approach would be ideal for extrapolating ground-based point and plot knowledge to large areas or landscape units viewed from satellite-based platforms. This chapter details development and applications of long-term remotely sensed data sets that are used in concert with other long-term data to provide more comprehensive knowledge for management of rangeland across this basin and as a template for their use for rangeland management in other regions. In concert with the ongoing Jornada Basin research program of ground measurements, in 1995 we began to collect remotely sensed data from ground, airborne, and satellite platforms to provide spatial and temporal data on the physical and biological state of basin rangeland. Data on distribution and reflectance of vegetation were measured on the ground along preestablished transects with detailed vegetation surveys (cover, composition, and height); with hand-held and yoke-mounted spectral and thermal radiometers; from aircraft flown at different elevations with spectral and thermal radiometers, infrared thermal radiometers, multispectral video, digital imagers, and laser altimeters; and from space with Landsat Thematic Mapper (TM), IKONOS, QuickBird, Terra/Aqua, and other satellite-based sensors. These different platforms (ground, aircraft, and satellite) allow evaluation of landscape patterns and states at different scales. One general use of these measurements will be to quantify the hydrologic budget and plant response to changes in components in the water and energy balance at different scales and to evaluate techniques of scaling data.
APA, Harvard, Vancouver, ISO, and other styles
5

Oswood, Mark W., and Nicholas F. Hughes. "Running Waters of the Alaskan Boreal Forest." In Alaska's Changing Boreal Forest. Oxford University Press, 2006. http://dx.doi.org/10.1093/oso/9780195154313.003.0015.

Full text
Abstract:
Running waters reflect the character of their landscape. Landscapes influence their streams by supplying dissolved ions to the water, determining the organic matter supply to stream foodwebs, and influencing water temperature and water flows (Gregory et al. 1991, Hynes 1975). The water that feeds streams has passed over and through the vegetation, soils, and rocks of the valley. Just as urine carries the chemical imprint of metabolic activities (such as diabetes), the kinds and amounts of dissolved matter delivered to stream channels carry the signature of the valley’s parent materials and biota. Riparian (streamside) vegetation similarly regulates the balance of carbon sources to stream consumers. In valleys with sparse riparian vegetation, abundant light at the streambed allows in-stream primary production by protists and plants to dominate. Where riparian vegetation forms a canopy over the stream, leaves and needles from shrubs and trees dominate carbon supplies to consumers because low light limits contributions from in-stream primary producers (Vannote et al. 1980). Water temperature and flow are complexly determined by climatic controls (e.g., air and soil temperatures, patterns of precipitation), landscape physiography (e.g., shading of streams by valley walls), and the filter of lightabsorbing and water-transpiring riparian vegetation. Thus, streams in the desert biome of the American Southwest, with intermittent droughts and floods, high water temperatures, and abundant light, are very different habitats from the cool, dark waters of perennial streams in the temperate rain forest of the Pacific Northwest coast (Fisher 1995). Likewise, streams in the boreal forest of Alaska (and in the cold circumboreal forests of the world) take their cues from the landscape. Cold permeates the ecology of the boreal landscape and the running waters therein. The consequences of high-latitude climate on running waters are at least three: creation of ice in both terrestrial and running water systems; limited inputs of organic matter and nutrients to foodwebs; and thermal effects of low water temperatures on biological processes (Oswood 1997). For forested streams, a good case can be made for autumn as the beginning of the stream’s “fiscal” year. Autumnal leaf fall from riparian vegetation provides a major proportion of the annual energy budget to stream foodwebs.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Low Thermal Budget"

1

Bourdon, H., A. Halimaoui, A. Talbot, J. Venturini, O. Marcelot, and D. Dutartre. "Low Thermal Budget Activation of B in Si." In 2006 14th International Conference on Advanced Thermal Processing of Semiconductors. IEEE, 2006. http://dx.doi.org/10.1109/rtp.2006.368001.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Sedky, S., H. Tawfik, A. Abdel Aziz, S. ElSaegh, A. B. Graham, J. Provine, and R. T. Howe. "Low thermal-budget silicon sealed-cavity microencapsulation process." In 2011 IEEE 24th International Conference on Micro Electro Mechanical Systems (MEMS). IEEE, 2011. http://dx.doi.org/10.1109/memsys.2011.5734415.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Burghartz, Grutzmacher, Sedgwick, Jenkins, Megdanis, Cotte, Nguyen-Ngoc, and Iyer. "An Ultra-low Thermal-budget SiGe-base Bipolar Technology." In Symposium on VLSI Technology. IEEE, 1993. http://dx.doi.org/10.1109/vlsit.1993.760244.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Sealy, B. J., A. J. Smith, T. Alzanki, N. Bennett, L. Li, C. Jeynes, B. Colombeau, et al. "Shallow junctions in silicon via low thermal budget processing." In 2006 International Workshop on Junction Technology. IEEE, 2006. http://dx.doi.org/10.1109/iwjt.2006.220850.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Yonah Cho, Yoshitaka Yokota, Chris Olsen, Agus Tjandra, Kai Ma, and Vicky Nguyen. "Quality and reliability of oxide by low thermal budget rapid thermal oxidation." In 2008 16th International Conference on Advanced Thermal Processing of Semiconductors (RTP). IEEE, 2008. http://dx.doi.org/10.1109/rtp.2008.4690559.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

LlU, G., and S. J. FONASH. "Low Thermal Budget Poly-Si Thin Film Transistors on Glass." In 1990 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 1990. http://dx.doi.org/10.7567/ssdm.1990.s-e-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Huet, K., I. Toque-Tresonne, F. Mazzamuto, T. Emeraud, and H. Besaucele. "Laser Thermal Annealing: A low thermal budget solution for advanced structures and new materials." In 2014 14th International Workshop on Junction Technology (IWJT). IEEE, 2014. http://dx.doi.org/10.1109/iwjt.2014.6842020.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Luo, Guo-Lun, Yuri Kusano, and David Horsley. "Immersion PMUTs Fabricated with a Low Thermal-Budget Surface Micromachining Process." In 2018 IEEE International Ultrasonics Symposium (IUS). IEEE, 2018. http://dx.doi.org/10.1109/ultsym.2018.8579826.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Huet, K., C. Boniface, R. Negru, and J. Venturini. "Ultra low thermal budget anneals for 3D memories: Access device formation." In ION IMPLANTATION TECHNOLOGY 2012: Proceedings of the 19th International Conference on Ion Implantation Technology. AIP, 2012. http://dx.doi.org/10.1063/1.4766508.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Shie, Kai-Cheng, Pin-Syuan He, Yu-Hao Kuo, Jia Juen Ong, K. N. Tu, Benson Tzu-Hung Lin, Chia-Cheng Chang, and Chih Chen. "Hybrid Bonding of Nanotwinned Copper/organic Dielectrics with Low Thermal Budget." In 2021 IEEE 71st Electronic Components and Technology Conference (ECTC). IEEE, 2021. http://dx.doi.org/10.1109/ectc32696.2021.00079.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Low Thermal Budget"

1

Yue Kuo. A NOVEL LOW THERMAL BUDGET THIN-FILM POLYSILICON FABRICATION PROCESS FOR LARGE-AREA, HIGH-THROUGHPUT SOLAR CELL PRODUCTION. Office of Scientific and Technical Information (OSTI), August 2010. http://dx.doi.org/10.2172/992272.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lever, James, and Jason Weale. High efficiency fuel sleds for Polar traverses. Engineer Research and Development Center (U.S.), March 2022. http://dx.doi.org/10.21079/11681/43445.

Full text
Abstract:
We describe here the evolution of lightweight, high-efficiency fuel sleds for Polar over-snow traverses. These sleds consist of flexible bladders strapped to sheets of high molecular weight polyethylene. They cost 1/6th, weigh 1/10th and triple the fuel delivered per towing tractor compared with steel sleds. An eight-tractor fleet has conducted three 3400-km roundtrips to South Pole with each travers delivering 320,000 kg of fuel while emitting <1% the pollutants, consuming 1/2 the fuel and saving $1.6 M compared with aircraft resupply. A two tractor fleet in Greenland recently delivered 83,000 kg of fuel in bladder sleds to Summit with similar benefits. Performance monitoring has revealed that bladder-sled towing resistance is largely governed by sliding friction, which can start high and drop in half over the first 30 min of travel. Frictional heating probably produces a thin water layer that lubricates the sled–snow interface. Consequently, towing resistance depends on the thermal budget of the sled. For example, black fuel bladders increase solar gain and thus decrease sled resistance; data suggest they could double again the fuel delivered per tractor. The outstanding efficiency and low cost of these sleds has transformed fuel delivery to Polar research stations.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography