Dissertations / Theses on the topic 'Low pressure chemical vapour deposition'

To see the other types of publications on this topic, follow the link: Low pressure chemical vapour deposition.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Low pressure chemical vapour deposition.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Ahmed, W. "Studies in low pressure chemical vapour deposition of polycrystalline silicon." Thesis, University of Salford, 1986. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.376853.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Trainor, Michael. "Studies of low pressure chemical vapour deposition (LPCVD) of polysilicon." Thesis, University of Strathclyde, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.291988.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Freeman, Mathieu Jon. "Synthesizing diamond films from low pressure chemical vapor deposition /." Online version of thesis, 1990. http://hdl.handle.net/1850/11262.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Dyson, Glynn. "The low-temperature chemical vapour deposition of tungsten carbide coatings utilising the pyrolysis of tungsten hexacarbonyl." Thesis, Loughborough University, 1998. https://dspace.lboro.ac.uk/2134/33243.

Full text
Abstract:
A detailed study has been made of the atmospheric pressure chemical vapour deposition (CVD) of tungsten carbide coatings onto powder metallurgy (PM) BT42 grade high speed steel (HSS) indexable cutting tool inserts. The pyrolysis of tungsten hexacarbonyl (W(CO)6) deposition route was utilised in conjunction with a laboratory-scale hot-wall CVD reactor. After numerous coating runs, deposition conditions were established under which rudimentary tungsten carbide coatings could be deposited at 350°C. The characteristics of these coatings were determined using an established characterisation procedure. This involved the following techniques: X-ray diffraction, ball cratering, Auger electron spectroscopy (AES), optical microscopy, fractography/scanning electron microscopy (SEM), profilometry, scratch adhesion testing and micro-indentation hardness testing.
APA, Harvard, Vancouver, ISO, and other styles
5

Petersburg, Cole. "Low pressure chemical vapor deposition of a-Si:H from disilane." [Ames, Iowa : Iowa State University], 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Berlin, Dean Edward 1978. "Fabricating silicon germanium waveguides by low pressure chemical vapor deposition." Thesis, Massachusetts Institute of Technology, 2002. http://hdl.handle.net/1721.1/8427.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2002.
Includes bibliographical references (p. 110-112).
Low loss optical waveguide structures combining the high bandwidth of light transmission and the economics of silicon substrates have been made possible by Low Pressure Chemical Vapor Deposition (LPCVD). This work explores the fabrication, modeling, and testing of LPCVD Si Ge waveguides. Thesis research was conducted during a six-month internship at Applied Materials, a semiconductor equipment manufacturing company. The present work can be divided into two parts: developmental work on the Applied Materials' Epi Centura® LPCVD reactor and use of this reactor to fabricate optical waveguides. Development was performed on the reactor to improve its performance for the deposition of epitaxial SiGe films in several essential aspects. The wafer heating and flow uniformity was given greater flexibility by employing a 3-zone heating lamp module, AccuSETT® flow controllers, and flow baffles. 1 [sigma]58% was achieved for thickness uniformity. The incorporation of an in-line purifier in the GeH.t supply line was found to reduce the oxygen concentration below the SIMS detection limit. Process conditions were identified for seleclive silicon epitaxial growth on silicon surfaces and not on oxide surfaces. Atomic force microscopy was used to characterize the surface roughness of polycrystalline SiGe films deposited-on nitride and oxide layers. The effect of C incorporation on the suppression of B diffusion was confirmed using this reactor. The addition of C to the SiGe lattice was shown to nullify the strain associated with epitaxial deposition on Si. Using the optimized reactor, optical waveguides were fabricated to determine the optimum processing conditions to produce low transmission loss structures. XRD scans on these samples confirm that low Ge concentration and relaxed structures were fabricated. Attenuation measurements in straight waveguide sections confirm that low loss transmission is achievable. The basic equations of optical transmission in planar waveguides are presented and solved for square cross-section strip SiGe waveguide design. The Marcatili method was used to model the electric field mode profiles in the waveguide core and cladding. Curved structures were designed to explore the crosstalking and coupling effects between adjacent waveguides.
by Dean Edward Berlin.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
7

Mihai-Dilliway, Gabriela Delia. "Structural characterisation of silicon-germanium virtual substrate-based heterostructures grown by low pressure chemical vapour deposition." Thesis, University of Southampton, 2002. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.396117.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Omar, Omar. "Large scale growth of MoS2 monolayers by low pressure chemical vapor deposition." Thesis, University of York, 2018. http://etheses.whiterose.ac.uk/20406/.

Full text
Abstract:
Monolayers of molybdenum disulphide MoS2, a two dimensional (2D) semiconductor with a direct band gap of 1.9 eV, have been proposed as a candidate for next generation nanoscale electronic and opto-electronic devices. Controlled synthesis of MoS2 monolayers is critically important since the thickness uniformity and grain size are major concerns for the fabrication of opto-electronic devices. In this study, we demonstrated the growth of wafer scale uniform MoS2 monolayers on SiO2 covered silicon wafers, at a range of growth temperatures (650 oC-850 oC) with optimum grain sizes as large as 400 μm, using low pressure chemical vapor deposition (LPCVD). By controlling the partial pressure of the reactant species at the growth surface and the limiting time, we can achieve prefered monolayer growth over multilayer growth. The MoS2 monolayer crystals follow a lognormal size distribution, consistent with random crystal nucleation, with single crystal domains as large as 400 μm. We estimated the thermal expansion coefficient to be (2.5±1.2) ×10-6 /oC, which is at least double that of the bulk. We have found film growth can be clearly classified into the reaction limited, feed limited and desorption limited regimes. With the help of COMSOL simulations, we have related the local growth environment such as growth temperature, MoO2 concentration, sulphur chemical potential and growth time with the macroscopic growth parameters such as Ar flux. In the feed limited regions, it is the supply of Mo that is the rate limiting factor. In the desorption regions, the growth is controlled by thermal stability of MoS2 monolayers. The growth modes also can be used to tune the grain morphology from perfect triangles to hexagons. Finally, we have also compared our approach with an LPCVD approach based on MoO3 as the Mo source. MoO3 has a higher vapor pressure than MoO2 which was used in the previous approach. By tuning the the S:MoO3 ratio, we could grow controllably planar MoS2 monolayers, vertically aligned MoS2/MoO2 and planar MoO2 crystals.
APA, Harvard, Vancouver, ISO, and other styles
9

Fang, Wenjing Ph D. Massachusetts Institute of Technology. "Bilayer graphene growth by low pressure chemical vapor deposition on copper foil." Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/75656.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 49-51).
Successfully integrating graphene in standard processes for applications in electronics relies on the synthesis of high-quality films. In this work we study Low Pressure Chemical Vapor Deposition (LPCVD) growth of bilayer graphene on the outside surface of copper enclosures. The effect of several parameters on bilayer growth rate and domain size was investigated and high-coverage bilayers films were successfully grown. Furthermore, the quality of the bilayer was confirmed using Raman spectroscopy. Finally, we consider future studies that may reveal the underlying mechanisms behind bilayer growth.
by Wenjing Fang.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
10

Rafique, Subrina. "Growth, Characterization and Device Demonstration of Ultra-Wide Bandgap ß-Ga2O3 by Low Pressure Chemical Vapor Deposition." Case Western Reserve University School of Graduate Studies / OhioLINK, 2018. http://rave.ohiolink.edu/etdc/view?acc_num=case1512652677980762.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Meng, Lingyu. "Effect of Zn doping on β-Ga2O3 thin films grown on sapphire substrate via low pressure chemical vapor deposition." The Ohio State University, 2020. http://rave.ohiolink.edu/etdc/view?acc_num=osu1588168081306877.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Kanyal, Supriya Singh. "Fabrication, Characterization, Optimization and Application Development of Novel Thin-layer Chromatography Plates." BYU ScholarsArchive, 2014. https://scholarsarchive.byu.edu/etd/5706.

Full text
Abstract:
This dissertation describes advances in the microfabrication of thin layer chromatography (TLC) plates. These plates are prepared by the patterning of carbon nanotube (CNT) forests on substrates, followed by their infiltration with an inorganic material. This document is divided into ten sections or chapters. Chapter 1 reviews the basics of conventional TLC technology. This technology has not changed substantially in decades. This chapter also mentions some of the downsides of the conventional approach, which include unwanted interactions of the binder in the plates with the analytes, relatively slow development times, and only moderately high efficiencies. Chapter 2 focuses primarily on the tuning of the iron catalyst used to grow the CNTs, which directly influences the diameters of the CNTs grown that are produced. Chapter 3 focuses on the atomic layer deposition (ALD) of SiO2 from a silicon precursor and ozone onto carbon-nanotubes to obtain an aluminum free stationary phase. This approach allowed us to overcome the tailing issues associated with the earlier plates prepared in our laboratory. Chapter 4 is a study of the hydroxylation state of the silica in our TLC plates. A linear correlation was obtained between the SiOH+/Si+ time-of-flight secondary ion mass spectrometry (ToF-SIMS) peak ratio and the isolated silanol peak position at ca. 3740 cm-1 in the diffuse reflectance infrared spectroscopy (DRIFT) spectra. We also compared the hydroxylation efficiencies on our plates of ammonium hydroxide and HF. Chapter 5 reports a series of improvements in TLC plate preparation. The first is the low-pressure chemical vapor deposition (LPCVD) of silicon nitride onto CNTs, which can be used to make very robust TLC plates that have the necessary SiO2 surfaces. These TLC plates are the best we have prepared to date. We also describe here the ALD deposition of ZnO into these devices, which can make them fluorescent. Chapters 6 – 10 consist of contributions to Surface Science Spectra (SSS) of ToF-SIMS spectra of the materials used in our microfabrication process. SSS is a peer-reviewed database that has been useful to many in the surface community. The ToF-SIMS spectra archived include those of (i) Si/SiO2, (ii) Si/SiO2/Al2O3, (iii) Si/SiO2/Al2O3/Fe, (iv) Si/SiO2/Fe (annealed at 750 °C in H2), and (v) Si/SiO2/Al2O3/Fe(annealed)/CNTs. Both positive and negative ion spectra have been submitted. In summary, the present work is a description of advances in the development, thorough characterization, optimization, and application development of microfabricated thin layer chromatography plates that are superior to their commercial counterparts.
APA, Harvard, Vancouver, ISO, and other styles
13

McCann, Michelle Jane, and michelle mccann@uni-konstanz de. "Aspects of Silicon Solar Cells: Thin-Film Cells and LPCVD Silicon Nitride." The Australian National University. Faculty of Engineering and Information Technology, 2002. http://thesis.anu.edu.au./public/adt-ANU20040903.100315.

Full text
Abstract:
This thesis discusses the growth of thin-film silicon layers suitable for solar cells using liquid phase epitaxy and the behaviour of oxide LPCVD silicon nitride stacks on silicon in a high temperature ambient.¶ The work on thin film cells is focussed on the characteristics of layers grown using liquid phase epitaxy. The morphology resulting from different seeding patterns, the transfer of dislocations to the epitaxial layer and the lifetime of layers grown using oxide compared with carbonised photoresist barrier layers are discussed. The second half of this work discusses boron doping of epitaxial layers. Simultaneous layer growth and boron doping is demonstrated, and shown to produce a 35um thick layer with a back surface field approximately 3.5um thick.¶ If an oxide/nitride stack is formed in the early stages of cell processing, then characteristics of the nitride may enable increased processing flexibility and hence the realisation of novel cell structures. An oxide/nitride stack on silicon also behaves as a good anti- reflection coating. The effects of a nitride deposited using low pressure chemical vapour deposition on the underlying wafer are discussed. With a thin oxide layer between the silicon and the silicon nitride, deposition is shown not to significantly alter effective life-times.¶ Heating an oxide/nitride stack on silicon is shown to result in a large drop in effective Lifetimes. As long as at least a thin oxide is present, it is shown that a high temperature nitrogen anneal results in a reduction in surface passivation, but does not significantly affect bulk lifetime. The reduction in surface passivation is shown to be due to a loss of hydrogen from the silicon/silicon oxide interface and is characterised by an increase in Joe. Higher temperatures, thinner oxides, thinner nitrides and longer anneal times are all shown to result in high Joe values. A hydrogen loss model is introduced to explain the observations.¶ Various methods of hydrogen re-introduction and hence Joe recovery are then discussed with an emphasis on high temperature forming gas anneals. The time necessary for successful Joe recovery is shown to be primarily dependent on the nitride thickness and on the temperature of the nitrogen anneal. With a high temperature forming gas anneal, Joe recovery after nitrogen anneals at both 900 and 1000oC and with an optimised anti-reflection coating is demonstrated for chemically polished wafers.¶ Finally the effects of oxide/nitride stacks and high temperature anneals in both nitrogen and forming gas are discussed for a variety of wafers. The optimal emitter sheet resistance is shown to be independent of nitrogen anneal temperature. With textured wafers, recovery of Joe values after a high temperature nitrogen anneal is demonstrated for wafers with a thick oxide, but not for wafers with a thin oxide. This is shown to be due to a lack of surface passivation at the silicon/oxide interface.
APA, Harvard, Vancouver, ISO, and other styles
14

Myers-Ward, Rachael L. "High growth rate SiC CVD via hot-wall epitaxy." [Tampa, Fla] : University of South Florida, 2006. http://purl.fcla.edu/usf/dc/et/SFE0001479.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Aubin, Joris. "Low temperature epitaxy of Si, Ge, and Sn based alloys." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAY058/document.

Full text
Abstract:
Les matériaux (Si)GeSn sont très prometteurs pour les composants optiques sur puce fonctionnant dans le Moyen Infra-Rouge (MIR). Lors de cette thèse de doctorat, j’ai étudié le Dépôt Chimique en Phase Vapeur d’alliages GeSn. L’épitaxie basse température de Ge pur, de Ge dopé phosphore et d’alliages GeSi a tout d’abord été explorée. L’utilisation du digermane (Ge2H6) au lieu du germane (GeH4) nous a permis d’augmenter considérablement la vitesse de croissance du germanium à des températures en dessous de425 °C. Des concentrations très importantes en atome de P électriquement actifs ont été atteintes à 350 °C, 100 Torr en chimie Ge2H6 + PH3 (au maximum 7.5x1019 cm-3). Nous avons par la suite combiné le Ge2H6 avec le disilane (Si2H6) ou le dichlorosilane (SiH2Cl2) afin d’étudier la cinétique de croissance du GeSi à 475 °C, 100 Torr. Des concentrations de Ge définitivement plus élevées (77-82%) et une meilleure qualité de surface ont été obtenues avec le SiH2Cl2. Finalement, la croissance basse température d’alliages GeSn a été étudiée dans notre bâti d’épitaxie industriel 200 mm. Le digermane (Ge2H6) et le tétrachlorure d'étain (SnCl4) ont été utilisés pour explorer la cinétique de croissance et les mécanismes de relaxation des contraintes du GeSn. Une large gamme de concentrations en Sn, i.e. 6-16%, a été sondée et ces points de fonctionnement utilisés pour épitaxier des couches épaisses de GeSn partiellement relaxées. Nous avons ainsi mis en évidence l’intérêt d’utiliser une structure dite en escalier, en termes de qualité cristalline et de morphologie de surface. Un tel empilement, avec 16% de Sn dans sa partie supérieure, a montré une structure de bande directe et a conduit à une émission laser (dans des micro-disques) à une longueur d’onde de 3.1 µm. Ce laser a fonctionné jusqu’à 180 K et a un seuil de 377 kW/cm² à 25K
(Si)GeSn is very promising for use in Mid Infra-Red (MIR) group-IV optical components on chip. During this PhD, I have studied the Reduced Pressure Chemical Vapor Deposition of GeSn alloys. The very low temperature epitaxy of pure Ge, heavily phosphorous doped Ge and Ge-rich SiGe alloys have first of all been investigated. Using digermane (Ge2H6) instead of germane (GeH4) enabled us to dramatically increase the Ge growth rate at temperatures 425 °C and lower. Very high electrically active P concentrations were obtained at 350 °C, 100 Torr with a Ge2H6 + PH3 chemistry (at most 7.5x1019 cm-3). We have then combined digermane with disilane (Si2H6) or dichlorosilane (SiH2Cl2) in order to study the GeSi growth kinetics at 475 °C, 100 Torr. Definitely higher Ge concentrations (77-82%) and smoother surfaces have been obtained with SiH2Cl2. We have then explored the low temperature epitaxy of high Sn content GeSn alloys in our 200 mm industrial RP-CVD tool. Digermane (Ge2H6) and tin tetrachloride (SnCl4) were used to investigate the GeSn growth kinetics and strain relaxation mechanisms. Large range of Sn concentrations, i.e. in the 6-16% range, was probed and data points used to grow thick, partially relaxed GeSn layers. The benefits of using Step-Graded structures, in terms of crystalline quality and surface morphology, was conclusively demonstrated for thick GeSn layers with high Sn contents. Such a stack, with 16% of Sn in the top part, was direct bandgap and led to a laser operation (in micro-disks) up to 180 K at an emission wavelength of 3.1 µm and with a lasing threshold of 377 kW/cm² at 25K
APA, Harvard, Vancouver, ISO, and other styles
16

Cunha, Thiago Henrique Rodrigues da. "Chemical vapor deposition of graphene at very low pressures." Universidade Federal de Minas Gerais, 2014. http://hdl.handle.net/1843/BUBD-9WFHSS.

Full text
Abstract:
The chemical vapor deposition (CVD) of hydrocarbons appears as the most suitable graphene production method for large area applications such as flexible displays and photovoltaics. However, the CVD mechanisms have not been fully understood and therefore a complete control over the morphology of the produced sheets has yet to be achieved. In this thesis we report a systematic investigation of graphene CVD at very low pressures performed on a cold wall reactor using copper substrates. A combination of scanning electron microscopy images and Raman spectroscopy measurements had demonstrated that the initial stages of graphene growth is strongly dependent of the growth temperature (T) and the nature of the carbon precursor. By using a high molecular weight liquid carbon precursor, growth of large graphene crystals (~up to 300 m) at very high rates (up to 3 m2.s-1) was achieved. For high temperature growth (T>900ºC), the shape and symmetry of the grains were found to depend on the underlying symmetry of the Cu crystal, whereas for lower temperatures (<900ºC), mostly rounded grains are observed. The temperature dependence of graphene nucleation density was determined, showing two thermally activated regimes, with activation energy values of (6±1) eV for 900 ºC < T < 960 ºC and (9±1) eV for T > 960 ºC. The comparison of such dependence with the temperature dependence of Cu surface self-diffusion suggests that graphene growth at high temperatures and low pressures is strongly influenced by copper surface rearrangement. A growth model was propose that incorporates Cu surface self-diffusion as an essential process to explain the orientation correlation between graphene and Cu crystals, and which can clarify the difference generally observed between graphene domain shapes in atmospheric-pressure and low-pressure chemical vapor deposition. In addition, we show that strain is induced over the graphene films during the coalescence of graphene individual domains.
A deposição química de vapor (CVD) de hidrocarbonetos vem se tornando um paradigma para a produção de grafeno em larga escala. No entanto, os mecanismos de crescimento associados ao processo ainda não são totalmente compreendidos, de forma que ainda não é possível um controle sistemático da qualidade dos filmes sintetizados. Nesta tese, apresentamos uma investigação detalhada do crescimento de grafeno por CVD à baixa pressão em um reator de parede fria, utilizando substratos de cobre. Uma combinação de imagens de microscopia electrônica de varredura e de espectroscopia Raman demonstrou que a síntese é fortemente influenciada pela temperatura e pela natureza do precursor de carbono. Utilizando um precursor líquido de carbono e temperaturas próximas do ponto de fusão do cobre, sintetizamos monocristais de grafeno relativamente grandes (~ 300 m) a taxas muito elevadas (até 3 m2.s-1). Sob tais condições, as formas dos domínios de grafeno apresentaram uma clara dependência com a orientação cristalográfica do cobre. Além disso, verificamos através de um gráfico de Arrhenius da densidade de nucleação vs. temperatura que a síntese de grafeno exibe dois regimes distintos: para temperaturas variando de 900° C a 960° C, a energia de ativação foi estimada em (6±1) eV; enquanto que para temperaturas acima de 960° C, a energia de ativação foi calculada em (9±1) eV. A comparação de tal dependência com a dependência da autodifusão do cobre com a temperatura sugere que o crescimento de grafeno é fortemente influenciado pelo rearranjo da superfície de cobre. Propomos um modelo que incorpora a auto-difusão de superfície do cobre como um processo essencial para explicar a relação entre a orientação dos monocristais de grafeno cristais e do cobre. Este modelo também é capaz de explicar as diferenças observadas entre as formas dos domínios de grafeno crescidos à pressão atmosférica e os crescidos a baixas pressões. Por fim, mostramos que strain é induzido nos filmes durante a coalescência dos domínios individuais de grafeno.
APA, Harvard, Vancouver, ISO, and other styles
17

Manning, Troy Darrell. "Atmospheric pressure chemical vapour deposition of vanadium oxides." Thesis, University College London (University of London), 2004. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.408676.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Hehn, Martin Christoph. "Diagnostics and modelling of atmospheric pressure chemical vapour deposition reactors." Thesis, University of Manchester, 2014. https://www.research.manchester.ac.uk/portal/en/theses/diagnostics-and-modelling-of-atmospheric-pressure-chemical-vapour-deposition-reactors(e3a692e7-2b47-4d5d-9d4c-3997a88893f6).html.

Full text
Abstract:
In the manufacturing process of float glass often atmospheric pressure chemical vapour deposition (APCVD) reactors are integrated on-line for the deposition of functional thin solid films. Such functional films have applications in architectural glass, flat panel displays and solar cells. As glass moves downstream in the process, the thin film is deposited at temperatures between 500 to 700°C. The high temperatures make it difficult to monitor the deposition process and thin film quality control is commonly done at the end of the line or at lower temperatures. A time delay therefore exists between the point of thin film deposition and subsequent quality control, which can lead to large quantities of defective product being produced before faults are detected. It is therefore desirable to monitor in the APCVD reactor for rapid feedback of unexpected deviations from desired process conditions, reaction progress and fault detection. High uniformity of film properties across the substrate are important, but APCVD reactors are often empirically designed and the detailed chemical reaction mechanism is unknown. This leads to inefficient gas flow patterns and precursor utilization as well as difficulties in the design of new reactors. The APCVD deposition of tin oxide from the mono-butyl-tin tri-chloride (MBTC) is an example of such a process. Optical monitoring instruments in-situ and in-line on the APCVD reactor provided rapid feedback about process stability and progress non-invasively. Near infrared diode laser absorption spectroscopy (NIR-LAS) monitored the concentration of the reaction species hydrogen chloride (HCl) in-situ and spatially in the coating zone. A mid-infrared grating absorption spectrometer (IR-GAS) with novel pyro-electric array detector monitored the concentration of precursor entering the coating system simultaneously. In combination these instruments provide the means for rapid process feedback. Fourier transform infrared absorption spectroscopy (FTIR) was used to investigate the unknown decomposition pathway of the precursor to find the yet unknown key tin radical that initiates film growth. Stable species forming during MBTC decomposition over a temperature range of 170 to 760°C were investigated but the tin intermediate remains unknown. Computational fluid dynamics (CFD) is routinely employed in research and industry for the numerical simulation of CVD processes in order to predict reactor flow patterns, deposition rates, chemical species distribution or temperature profiles. Two and three dimensional models with complex geometries and detailed reaction models exist. A three dimensional computational fluid dynamics (CFD) model of the used APCVD reactor was built using the Fluent CFD software. The numerical simulation included a chemical model that predicted qualitatively the chemical species distribution of hydrogen chloride in the gas phase. This was confirmed through comparison with NIR-LAS results. Design shortcomings due to inefficient flow patterns were also identified. In combination the optical tools developed provide the means for safe and efficient manufacturing of thin films in APCVD reactors. CFD simulations can be used to increase precursor utilization and film uniformity in the development of new reactor designs.
APA, Harvard, Vancouver, ISO, and other styles
19

Boscher, Nicolas D. "Atmospheric pressure chemical vapour deposition of transition metal selenide thin films." Thesis, University College London (University of London), 2006. http://discovery.ucl.ac.uk/1444549/.

Full text
Abstract:
This thesis investigates the formation of thin films of metal selenides via atmospheric pressure chemical vapour deposition (APCVD). The films and powders produced were characterised by SEM, EDAX/WDX, XPS, XRD, Raman, SQUID, reflectance and absorbance measurements. The APCVD reaction of TiCl4, V(NMe2)4 and NbCl5 with fBu2Se were respectively found to be a convenient route to stable and crystalline titanium, vanadium and niobium diselenide films. The use of VCI4 and VOCI3 showed that they were both found unsuitable for producing VSe2 from the APCVD reaction with 'Bu2Se. Molybdenum and tungsten diselenide films were respectively synthesised using M0CI5 and WC16 with Et2Se. The WSe2 films produced were highly hydrophobic with contact angles for water droplets in the range of 135 - 145 . Furthermore these surfaces were highly adherent for water droplets that did not roll or slide even at a tilt angle of 90 . The deposition of tin monoselenide and tin diselenide films was achieved by the reaction of SnCU with Et2Se. The deposition temperature, flow rates and position on the substrate determined whether mixed SnSe - SnSe2, pure SnSe or pure SnSe2 thin films could be obtained. The characterisation of the films and powders formed from the APCVD or gas phase reaction of Cr02Cl2 and Et2Se confirmed the formation of an unknown solid solution of Cr2Se3_xOx. Cr2Se3-xOx adopts the hexagonal Cr2C>3 structure for a stoichiometry between Cr2C>3 and Cr2Seo.202.g, and the rhombohedral Cr2Se3 structure for a stoichiometry varying from Cr2Seo.302.7 to Cr2Se2.15O0.85- Magnetic studies showed that all the Cr2Se3.xOx compounds formed with the rhombohedral Cr2Se3 structure had an antiferromagnetic ordering with a Neel temperature lower than the expected one for Cr2Se3.
APA, Harvard, Vancouver, ISO, and other styles
20

Cave, Hadley Mervyn. "Development of Modelling Techniques for Pulsed Pressure Chemical Vapour Deposition (PP-CVD)." Thesis, University of Canterbury. Mechanical Engineering, 2008. http://hdl.handle.net/10092/1572.

Full text
Abstract:
In this thesis, a numerical and theoretical investigation of the Pulsed Pressure Chemical Vapour Deposition (PP-CVD) progress is presented. This process is a novel method for the deposition of thin films of materials from either liquid or gaseous precursors. PP-CVD operates in an unsteady manner whereby timed pulsed of the precursor are injected into a continuously evacuated reactor volume. A non-dimensional parameter indicating the extent of continuum breakdown under strong temporal gradients is developed. Experimental measurements, supplemented by basic continuum simulations, reveal that spatio-temporal breakdown of the continuum condition occurs within the reactor volume. This means that the use of continuum equation based solvers for modelling the flow field is inappropriate. In this thesis, appropriate methods are developed for modelling unsteady non-continuum flows, centred on the particle-based Direct Simulation Monte Carlo (DSMC) method. As a first step, a basic particle tracking method and single processor DSMC code are used to investigate the physical mechanisms for the high precursor conversion efficiency and deposition uniformity observed in experimental reactors. This investigation reveals that at soon after the completion of the PP-CVD injection phase, the precursor particles have an approximately uniform distribution within the reactor volume. The particles then simply diffuse to the substrate during the pump-down phase, during which the rate of diffusion greatly exceeds the rate at which particles can be removed from the reactor. Higher precursor conversion efficiency was found to correlate with smaller size carrier gas molecules and moderate reactor peak pressure. An unsteady sampling routine for a general parallel DSMC method called PDSC, allowing the simulation of time-dependent flow problems in the near continuum range, is then developed in detail. Nearest neighbour collision routines are also implemented and verified for this code. A post-processing procedure called DSMC Rapid Ensemble Averaging Method (DREAM) is developed to improve the statistical scatter in the results while minimising both memory and simulation time. This method builds an ensemble average of repeated runs over small number of sampling intervals prior to the sampling point of interest by restarting the flow using either xi a Maxwellian distribution based on macroscopic properties for near equilibrium flows (DREAM-I) or output instantaneous particle data obtained by the original unsteady sampling of PDSC for strongly non-equilibrium flows (DREAM-II). The method is validated by simulating shock tube flow and the development of simple Couette flow. Unsteady PDSC is found to accurately predict the flow field in both cases with significantly reduced run-times over single processor code and DREAM greatly reduces the statistical scatter in the results while maintaining accurate particle velocity distributions. Verification simulations are conducted involving the interaction of shocks over wedges and a benchmark study against other DSMC code is conducted. The unsteady PDSC routines are then used to simulate the PP-CVD injection phase. These simulations reveal the complex flow phenomena present during this stage. The initial expansion is highly unsteady; however a quasi-steady jet structure forms within the reactor after this initial stage. The simulations give additional evidence that the collapse of the jet at the end of the injection phase results in an approximately uniform distribution of precursor throughout the reactor volume. Advanced modelling methods and the future work required for development of the PP-CVD method are then proposed. These methods will allow all configurations of reactor to be modelled while reducing the computational expense of the simulations.
APA, Harvard, Vancouver, ISO, and other styles
21

Quesada-Gonzalez, Miguel. "Synthesis and characterisation of B-TiO2 thin films by atmospheric pressure chemical vapour deposition and plasma enhanced chemical vapour deposition : functional films for different substrates." Thesis, University College London (University of London), 2018. http://discovery.ucl.ac.uk/10055015/.

Full text
Abstract:
Anatase, a form of titanium dioxide (TiO2), is arguably the most studied wide band gap semiconducting photocatalyst. TiO2 has many other applications, including water and air purification, self-cleaning surfaces and photovoltaic. However, for many applications, as well as for safety concerns related to the handling of nanoparticles, the simultaneous synthesis and deposition of photocatalytic TiO2 thin films is highly desirable. Numerous routes towards the simultaneous synthesis and deposition of anatase TiO2 thin films have already been reported. Chemical vapour deposition (CVD) methods have successfully been implemented for the industrial production of photocatalytic TiO2 thin films. Nevertheless, the rather high temperature required in CVD does not allow the coating of heat sensitive substrates. Similarly, other photocatalytic TiO2 deposition processes all possess significant drawbacks, such as the lowpressure environment required by physical vapour deposition (PVD) and the post-heating treatment or the large number of steps required by sol-gel approaches. In addition, most of the methods remain difficult to implement on complex shape substrates and/or non-conformal. The following research thesis reports on new functional coatings, based on boron-doped TiO2, which were deposited by APCVD and AP-PECVD on different matrices and substrates. Boron, as a dopant for TiO2 systems, has been used and reported to enhanced TiO2 photocatalytic performance under UV light, as well as numerous scientific papers reported on the visible light response of borondoped TiO2. However, in most of the cases the successful B-TiO2 was synthesised in the form of powders, not thin films. Also, when B-TiO2 thin films were synthesised, only substitutional boron-doped TiO2 was previously reported, whereas, the higher stability and long-term life of interstitial boron vs substitutional has been proven and reported theoretically and experimentally.
APA, Harvard, Vancouver, ISO, and other styles
22

Hardy, Amanda Mary Ellen. "Raman microscopy of some thin films produced by atmospheric pressure chemical vapour deposition." Thesis, University College London (University of London), 2002. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.398130.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Johnson, Saccha Ellen. "Atmospheric pressure chemical vapour deposition of titanium nitride from titanium tetrachloride and ammonia." Thesis, University of Southampton, 1996. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.242208.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Patchett, David. "Germanium-tin-silicon epitaxial structures grown on silicon by reduced pressure chemical vapour deposition." Thesis, University of Warwick, 2016. http://wrap.warwick.ac.uk/93459/.

Full text
Abstract:
Crystalline germanium-tin (GeSn) binary alloys have been subject to a significant research effort in recent years. This research effort is motivated by the myriad of potential applications that GeSn alloys offer. Crystalline epitaxial layers of GeSn and silicon-germanium-tin (SiGeSn) have been grown onto Si(001) substrates on a relaxed Ge buffer using reduced pressure CVD and commercially available precursors. X-ray diffraction, transmission electron microscopy, atomic force microscopy, secondary ion mass spectrometry and Raman spectroscopy were used to determine layer composition, layer thickness, crystallinity, degree of strain relaxation, surface features and roughness of the samples investigated in this work. The epilayers produced have been both fully strained to their growth platform and partially relaxed. The Sn fraction of the alloy layers varied from 1 to 12 at. % Sn. Using N2 as the carrier gas during growth is observed to inhibit Ge1-xSnx growth. Off-axis substrates are determined to hinder the production of crystalline layers of GeSn. In-situ material characterization of GeSn layers during thermal treatment has identified the existence of a critical temperature for higher Sn fraction layers, beyond which the material quality degrades rapidly. This critical temperature is dependent on the layer composition, layer thickness, layer strain state and annealing environment. Layers of germanium-tin-oxide are produced by thermal oxidation and shown to have similar oxide formation rates to pure Ge. The low thermal budget limit for the high Sn fraction alloys has driven research into forming Ohmic metal contacts on GeSn layers with processes limited to low temperatures. Gold is determined to be the optimum electrical contact material.
APA, Harvard, Vancouver, ISO, and other styles
25

Elwin, Gareth Steven. "Atmospheric pressure chemical vapour deposition of the nitrides and oxynitrides of vanadium, titanium and chromium." Thesis, University College London (University of London), 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.322369.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Kafizas, A. G. "Combinatorial atmospheric pressure chemical vapour deposition for optimising the functional properties of titania thin-films." Thesis, University College London (University of London), 2011. http://discovery.ucl.ac.uk/1334459/.

Full text
Abstract:
Titanium dioxide (TiO2) is the leading material for self-cleaning applications due to its chemical inertness, mechanical robustness, durability to extended photocatalytic cycling, low cost and high photocatalytic activity. There has been a concerted effort to try and improve the material’s functional properties through impurity doping; altering the band structure and electronic transport properties. However, any improvements are difficult to optimise using traditional methods. Thin-film combinatorial methods have heralded the discovery of more than 20 new families of materials since their resurgence in the mid-90’s. Such methods enable a high diversity of states to be produced in a single deposition and are now being used more prominently to optimise the functional properties of existing materials. Atmospheric pressure chemical vapour deposition (APCVD) has been applied in a combinatorial fashion to deposit thin-films containing compositional gradients and is also the native method in which thin-films of TiO2 are mass-produced. Utilising combinatorial APCVD, we investigated N, Nb and W doped TiO2 thin-film systems. The Ndoped TiO2 system has been studied most prominently for improved visible light photocatalysis. Nitrogen can either substitute oxygen sites (substitutional doping - Ns) or enter within the TiO2 framework (interstitial doping - Ni), yet there is little consensus on which type of doping or dopant concentration yields the more active photocatalyst. Using the combinatorial APCVD approach, TiCl4 and ethyl acetate precursors were used to form the host TiO2 matrix with either NH3 or t-butylamine used as the N-sources. From three separate investigations we were able to produce combinatorial films with transitional composition/ phase gradients of (i) Ns/ Ni-doped to pure Ni-doped anatase TiO2 (0 ≤ Ns: Ti ≤ 8.4 %, 0.57 ≤ Ni: Ti ≤ 3.3 %), (ii) Ns-doped anatase TiO2 and rutile TiO2 phase mixtures (0 ≤ Ns: Ti ≤ 11 %, 0 ≤ anatase TiO2 ≤ 100 %, 0 ≤ rutile TiO2 ≤ 41 %) and (iii) pure pseudo-brookite Ti3-δO4N to pure Ni-doped anatase TiO2 phase mixtures. In tailoring high-throughput screening methods to these systems we were able to characterise large numbers of unique states across each combinatorial system and inter-relate their physical and functional properties. It was found that (i) pure Ni-doped anatase TiO2 is a more photocatalytically active material than Ns-doped anatase TiO2 under UVA and visible light (> 420 nm), (ii) un-doped anatase TiO2 is more photocatalytically active than Ns-doped anatase TiO2 under UVA light and (iii) pseudo-brookite Ti3-δO4N is a more active photocatalyst than Ni-doped anatase TiO2 under UVA light. The photocatalytic activity of Nb and W doped TiO2 solid solutions had not previously been investigated; however, their film resistivities for potential applications as more durable transparent conducting oxide materials had been. Using combinatorial APCVD we were able to produce NbxTi1-xO2 (0.0004 ≤ x ≤ 0.0194) and WxTi1-xO2 (0.0038 ≤ x ≤ 0.1380) anatase TiO2 thin-film solid solutions with transitional composition gradients. The Nbdoped system was formed from combining TiCl4, ethyl acetate and NbCl5 precursors. By characterising the film with our screening methods were we able to determine the strong functional inter-relationship between the material’s bandgap, photocatalytic activity and film resistivity in three dimensions; where an increased photocatalytic activity was associated with a lower bandgap energy and electrical resistance. The importance of oxygen vacancies on increasing charge carrier mobility presided over the number of charge carriers in the system (Nb-doping level). The W-doped system was formed from combining TiCl4, ethyl acetate and WCl6 precursors. Using high-throughput screening methods once more, the strong physical inter-relationship between the material’s Raman shift, unit cell volume and W-doping level were determined; where increased W-doping increasingly expanded the unit cell in the a/b axis and caused the prominent Raman active Eg vibrational mode of (144 cm-1) to shift to higher energies. Increased W-doping increasingly disrupted crystallisation, yielding less active photocatalysts. More interestingly however, increased preferred orientation in the (211) plane induced a greater degree of photo-induced surface wetting. Given the mechanism for the photo-induced wetting process in anatase TiO2 is, to our knowledge, yet to be studied, the trends highlighted the importance of the (211) plane in this process. Films synthesized by the combinatorial APCVD route, analysed in conjunction with high-throughput characterisation methods, provide a shortcut to understanding and optimising the functional properties of composition/ phase space.
APA, Harvard, Vancouver, ISO, and other styles
27

Hodgkinson, John L. "Atmospheric pressure glow discharge plasma enhanced chemical vapour deposition of titania and aluminium based thin films." Thesis, University of Salford, 2009. http://usir.salford.ac.uk/26717/.

Full text
Abstract:
Atmospheric pressure glow discharge (APGD) plasma CVD was used to deposit thin films of titania at 200 °C using two different precursors. The resulting films were characterised using techniques including XPS, RBS and XRD. It was established that annealing at temperatures as low as 275 °C produced crystalline films that were photocatalytically active. When annealed at 300 °C, the photoactivity was greater than that of a commercially available "self-cleaning" titania film. The effects of the different precursors, annealing times and temperatures on the crystallinity and photoactivity are discussed. This thesis also describes first reported deposition of aluminium oxide thin films by APGD, plasma-enhanced CVD. This approach allows deposition at substantially lower substrate temperatures than normally used in atmospheric pressure based processing. The films are analysed by SEM, XPS, RBS, XRD, and optical properties. It is demonstrated that the APGD approach yields films which are essentially smooth, conformal and free from pinholes or other imperfections. Further novel work was undertaken exploring the deposition of composite metal/ metal oxide thin films using APGD CVD. The described approach employs a parallel- plate dielectric barrier configuration, and the deposition of such materials is discussed with respect to their influence on discharge conditions. Controlled and variable composition films were produced based on aluminium which showed metallic-like reflection (up to 60% visible), and were conductive (~1 O per square). The films were analysed by RBS, SEM, AFM and optical spectroscopy. This new class of APGD-CVD derived thin film material, when combined with the associated low thermal load and attractions for industrial scaling, offers significant potential for new applications. The studies resulted in three full papers, and four posters.
APA, Harvard, Vancouver, ISO, and other styles
28

Lim, Chin Wai. "Numerical Modelling of Transient and Droplet Transport for Pulsed Pressure - Chemical Vapour Deposition (PP-CVD) Process." Thesis, University of Canterbury. Mechanical Engineering, 2012. http://hdl.handle.net/10092/6829.

Full text
Abstract:
The objective of this thesis is to develop an easy-to-use and computationally economical numerical tool to investigate the flow field in the Pulsed Pressure Chemical Vapour Deposition (PP-CVD) reactor. The PP-CVD process is a novel thin film deposition technique with some advantages over traditional CVD methods. The numerical modelling of the PP-CVD flow field is carried out using the Quiet Direct Simulation (QDS) method, which is a flux-based kinetic-theory approach. Two approaches are considered for the flux reconstruction, which are the true directional manner and the directional splitting method. Both the true directional and the directional decoupled QDS codes are validated against various numerical methods which include EFM, direct simulation, Riemann solver and the Godunov method. Both two dimensional and axisymmetric test problems are considered. Simulations are conducted to investigate the PP-CVD reactor flow field at 1 Pa and 1 kPa reactor base pressures. A droplet flash evaporation model is presented to model the evaporation and transport of the liquid droplets injected. The solution of the droplet flash evaporation model is used as the inlet conditions for the QDS gas phase solver. The droplet model is found to be able to provide pressure rise in the reactor at the predicted rate. A series of parametric studies are conducted for the PP-CVD process. The numerical study confirms the hypothesis that the flow field uniformity is insensitive to the reactor geometry. However, a sufficient distance from the injection inlet is required to allow the injected precursor solution to diffuse uniformly before reaching the substrate. It is also recommended that placement of the substrate at the reactor’s centre axis should be avoided.
APA, Harvard, Vancouver, ISO, and other styles
29

Kretzschmar, B. S. M., K. Assim, Andrea Preuß, A. Heft, Marcus Korb, Marc Pügner, Thomas Lampke, B. Grünler, and Heinrich Lang. "Cobalt and manganese carboxylates for metal oxide thin film deposition by applying the atmospheric pressure combustion chemical vapour deposition process." Technische Universität Chemnitz, 2018. https://monarch.qucosa.de/id/qucosa%3A21422.

Full text
Abstract:
Coordination complexes [M(O2CCH2OC2H4OMe)2] (M = Co, 4; M = Mn, 5) are accessible by the anion exchange reaction between the corresponding metal acetates [M(OAc)2(H2O)4] (M = Co, 1; M = Mn, 2) and the carboxylic acid HO2CCH2OC2H4OMe (3). IR spectroscopy confirms the chelating or μ-bridging binding mode of the carboxylato ligands to M(II). The molecular structure of 5 in the solid state confirms a distorted octahedral arrangement at Mn(II), setup by the two carboxylato ligands including their α-ether oxygen atoms, resulting in an overall two-dimensional coordination network. The thermal decomposition behavior of 4 and 5 was studied by TG-MS, revealing that decarboxylation occurs initially giving [M(CH2OC2H4OMe)2], which further decomposes by M–C, C–O and C–C bond cleavages. Complexes 4 and 5 were used as CCVD (combustion chemical vapour deposition) precursors for the deposition of Co3O4, crystalline Mn3O4 and amorphous Mn2O3 thin films on silicon and glass substrates. The deposition experiments were carried out using three different precursor solutions (0.4, 0.6 and 0.8 M) at 400 °C. Depending on the precursor concentration, particulated layers were obtained as evidenced by SEM. The layer thicknesses range from 32 to 170 nm. The rms roughness of the respective films was determined by AFM, displaying that the higher the precursor concentration, the rougher the Co3O4 surface is (17.4–43.8 nm), while the manganese oxide films are almost similar (6.2–9.8 nm).
APA, Harvard, Vancouver, ISO, and other styles
30

Evans, Philip. "The Growth of photcatalytically active Ti02 Thin films on stainless steels using atmospheric pressure chemical vapour deposition ( APCVD)." Thesis, University of Salford, 2005. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.490547.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Jansen, Hendrik [Verfasser]. "Chemical Vapour Deposition Diamond : Charge Carrier Movement at Low Temperatures and Use in Time-Critical Applications / Hendrik Jansen." Bonn : Universitäts- und Landesbibliothek Bonn, 2015. http://d-nb.info/1077289219/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Lama, Lara, and Axel Nordström. "Photoluminescense and AFM characterization of silicon nanocrystals prepared by low-temperature plasma enhanced chemical vapour deposition and annealing." Thesis, KTH, Teoretisk fysik, 2012. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-104057.

Full text
Abstract:
When studying quantum dots one of the most important properties is the size of the band gap, and thus also their physical dimensions. We investigated these properties for silicon quantum dots created by means of plasma-enhanced chemical vapour deposition and annealing. To determine the band gap size we measured photoluminescence for ten dierent samples and to determine the physical dimensions we used an atomic force microscope. The photoluminescence measurements indicated that the intensity of the emitted photons varied across the samples, but did not indicate any shift in peak wavelength between samples nor any time-dependence of the luminescence. The peak wavelength was in the order of 600 to 620 nm, corresponding to a band gap of 2.0 to 2.1 eV and a physical size of approximately 3 nm. The AFM scans revealed densely packed quantum dots, where few single objects could be distinguished. In order to be able to perform a better statistical analysis, eorts would have to be taken to separate the quantum dots.
APA, Harvard, Vancouver, ISO, and other styles
33

Miya, Senzo Simo. "Atmospheric pressure metal-organic vapour phase epitaxial growth of InAs/GaSb strained layer superlattices." Thesis, Nelson Mandela Metropolitan University, 2013. http://hdl.handle.net/10948/d1020866.

Full text
Abstract:
The importance of infrared (IR) technology (for detection in the 3-5 μm and 8-14 μm atmospheric windows) has spread from military applications to civilian applications since World War II. The commercial IR detector market in these wavelength ranges is dominated by mercury cadmium telluride (MCT) alloys. The use of these alloys has, however, been faced with technological difficulties. One of the materials that have been tipped to be suitable to replace MCT is InAs/InxGa1-xSb strained layer superlattices (SLS’s). Atmospheric pressure metal-organic vapour phase epitaxy (MOVPE) has been used to grow InAs/GaSb strained layer superlattices (SLS’s) at 510 °C in this study. This is a starting point towards the development of MOVPE InAs/InxGa1-xSb SLS’s using the same system. Before the SLS’s could be attempted, the growth parameters for GaSb were optimised. Growth parameters for InAs were taken from reports on previous studies conducted using the same reactor. Initially, trimethylgallium, a source that has been used extensively in the same growth system for the growth of GaSb and InxGa1-xSb was intended to be used for gallium species. The high growth rates yielded by this source were too large for the growth of SLS structures, however. Thus, triethylgallium (rarely used for atmospheric pressure MOVPE) was utilized. GaSb layers (between 1 and 2 μm thick) were grown at two different temperatures (550 °C and 510 °C) with a varying V/III ratio. A V/III ratio of 1.5 was found to be optimal at 550 °C. However, the low incorporation efficiency of indium into GaSb at this temperature was inadequate to obtain InxGa1-xSb with an indium mole fraction (x) of around 0.3, which had previously been reported to be optimal for the performance of InAs/InxGa1-xSb SLS’s, due to the maximum splitting of the valence mini bands for this composition. The growth temperature was thus lowered to 510 °C. This resulted in an increase in the optimum V/III ratio to 1.75 for GaSb and yielded much higher incorporation efficiencies of indium in InxGa1-xSb. However, this lower growth temperature also produced poorer surface morphologies for both the binary and ternary layers, due to the reduced surface diffusion of the adsorbed species. An interface control study during the growth of InAs/GaSb SLS’s was subsequently conducted, by investigating the influence of different gas switching sequences on the interface type and quality. It was noted that the growth of SLS’s without any growth interruptions at the interfaces leads to tensile strained SLS’s (GaAs-like interfaces) with a rather large lattice mismatch. A 5 second flow of TMSb over the InAs surface and a flow of H2 over GaSb surface yielded compressively strained SLS’s. Flowing TMIn for 1 second and following by a flow of TMSb for 4 seconds over the GaSb surface, while flowing H2 for 5 seconds over the InAs surface, resulted in SLS’s with GaAs-like interfacial layers and a reduced lattice mismatch. Temperature gradients across the surface of the susceptor led to SLS’s with different structural quality. High resolution x-ray diffraction (HRXRD) was used to determine the thicknesses as well as the type of interfacial layers. The physical parameters of the SLS’s obtained from simulating the HRXRD spectra were comparable to the parameters obtained from cross sectional transmission electron microscopy (XTEM) images. The thicknesses of the layers and the interface type played a major role in determining the cut-off wavelength of the SLS’s.
APA, Harvard, Vancouver, ISO, and other styles
34

Jansen, Hendrik [Verfasser]. "Chemical Vapour Deposition Diamond : Charge Carrier Movement at Low Temperatures and Use in Time-Critical Applications [[Elektronische Ressource]] / Hendrik Jansen." Bonn : Universitäts- und Landesbibliothek Bonn, 2014. http://d-nb.info/1047145537/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Siriwongrungson, Vilailuck. "Characterisation of Step Coverage by Pulsed-Pressure Metalorganic Chemical Vapour Deposition: Titanium Dioxide Thin Films on 3-D Micro- and Nano-Scale Structures." Thesis, University of Canterbury. Mechanical Engineering, 2010. http://hdl.handle.net/10092/3615.

Full text
Abstract:
An examination of the possibility of applying pulse pressure metalorganic chemical vapour deposition (PP-MOCVD) to conformal coating and an investigation of PP-MOCVD processing parameters were undertaken using the deposition of thin, conformal titanium dioxide (TiO₂) on 3-D featured and non-featured substrates. The characterisation of the conformality and wettability analysis of thin TiO₂ was carried out using titanium tetraisopropoxide (TTIP) dissolved in toluene as a precursor and featured silicon (Si) and silicon nitride (Si₃N₄) as substrates. The features on the substrates were in micro- and nano-scale with the aspect ratio up to 2:1. The processing parameters investigated were temperatures between 400 and 600°C, reactor base pressures from 50 to 200 Pa, injection volumes between 50 and 250 µl, precursor concentrations in the range of 0.15 to 0.50 mol% and pulsing times from 10 to 20 sec. The surface morphology and thickness were examined using a scanning electron microscope (SEM). The composition of the films was qualitatively identified by energy dispersive X-ray spectroscopy (EDS). X-ray diffraction (XRD) and Raman spectroscopy were used to analyse the phase and grain size. The surface roughness and grain size were evaluated using atomic force microscopy (AFM). The optical properties were characterised using UV-VIS light spectroscopy. The anti-sticking characteristic was examined by wettability analysis, measuring the contact angle of the film with water. The research examined the relationships between processing parameters and growth rate, conformality, surface roughness, grain size, phase and water contact angle. A new measurement for thin film conformality was derived based on a statistical analysis of a large number of film thickness measurements on a fracture surface over the lithographed features. The best conformality of 0.95 was obtained for micro-scale features at the lowest temperature in the range of investigation, 400℃, with pulse exposure characterised by a base pressure of 100 Pa, TTIP concentration of 0.50 mol%, injection volume of 50 µl and pulsing time of 10 sec. Conformality for micro-scale features was in the range of 0.82 to 0.97 over a wide range of deposition temperatures. Conformality was as low as 0.45 over nano-scale structures at the higher exposure rate. The conformality decreased as the temperature and precursor concentration increased. The precursor injection volume was found to have minor influences on conformality. The growth rate increased as the temperature increased and reached the maximum at the deposition temperature of 450℃ with the precursor concentration of 0.50 mol% and injection volume of 100 µl. The base pressure and relaxation time had slight influences on the growth rate over the deposition temperature range of 400 to 500℃. The growth rate was increased as the precursor concentration and precursor injection volume increased. The deposited TiO₂ films exhibited columnar growth and anatase phase. The base pressure and pulsing time had no obvious effects on grain size and surface roughness. The grain size decreased as the deposition temperature increased. The surface roughness increased as the deposition temperature increased. Contact angles of over 100° were found with conformality of over 0.80. The variation in contact angle was related to the surface morphology of the deposited films. The contact angle increased as the grain size decreased. High wettability was found for films in the mid-range of pulse exposure, in this study at pulse exposure of 53, or at high deposition temperature, in this case at 600°C. The as-deposited TiO₂ thin films were hydrophobic depending on the surface morphology, surface roughness and grain size.
APA, Harvard, Vancouver, ISO, and other styles
36

Lee, Darryl Liang Wee. "Development of a PP-MOCVD System and its Design and Operational Parameters for Uniform Industrial Coatings on 3D Objects." Thesis, University of Canterbury. Dept. of Mechanical Engineering, 2014. http://hdl.handle.net/10092/9644.

Full text
Abstract:
Increase in demand for uniform ceramic coatings on larger industrial components have led to a need for a PP-MOCVD coating system scale up. The objective of this thesis is to develop a fully functional coating system operating in the PP-MOCVD regime that is able to deposit thin film ceramic coatings on commercial or industrial components with complex 3D geometries. This can be achieved by applying engineering and vacuum science theories, coupled with the established fundamentals of PP-MOCVD. A larger system was designed and assembled around the boundaries set by the dimensions and geometry of a stainless steel water pump impellor acting as the base substrate. Most of the components were sourced off the shelf from vacuum and fluid specialists. Components which were unavailable for various reasons were designed, and machined in-house by the departmental workshop. Initial test depositions were conducted using small stainless steel disk substrates, heated using a resistive heater similar to the one utilised on the research scale system. The test depositions were performed with the heater and substrate combination placed in strategic locations. This is to test the overall uniformity of precursor flux in the chamber volume. The resulting coating uniformity on the disk surfaces were fair but problems such as the large collection of unreacted precursor on the chamber viewport and valve timing issues had to be addressed. Before making any improvements to the system, each of the process areas leading to a successful deposition needed to be understood. Five process areas were developed: ‘Liquid Delivery’, ‘Atomization’, ‘Evaporation’, ‘Transport and Reactor Geometry’, and ‘Droplet Management’. Each of the process areas were analysed individually and changes were made to push for a maximum evaporation efficiency. xviii The improved system provided opportunities to perform depositions that were once not possible for PP-MOCVD. Two sets of deposition tests were designed and conducted. Firstly, the improvements were justified with a series of depositions using flat stainless steel plates with dimensions 65x65x5mm. The other set of 3D case study depositions involve observing the effects of the operational parameters of PP-MOCVD on the uniformity and penetration depths of the coatings into different sized macro blind trenches. Five geometric setup conditions were used to justify the improvements made to the system. These are: ‘Substrate positioned in the direct line of spray’, ‘Use of an unheated receptor’, ‘Use of a heated receptor’, ‘Use of an unheated receptor with a non-axial substrate setup’, and “Choked Flow’. As expected, the uniformity of the coatings on both sides of the plate varied significantly when the substrate is placed over the line of sight of the precursor spray. Similarly, the coating produced under the induced choked flow condition resulted in low conformality. The introduction of an unheated receptor plate resulted in an increase in uniformity on both sides of the plate. Further prove that PP-MOCVD is geometry independent is provided by the deposition made with the non-axial substrate placement resulting in a coating of similar result to the unheated receptor. The use of a heated receptor provided a source for a secondary evaporation of the larger precursor droplets collected resulting in an increase in coating thickness while maintaining good conformality. The effects of temperature, pressure, injection volume, and concentration were explored in the final case study. With maximum depths of 50mm, the macro blind trenches has an aspect ratio of 1:1 and cross-sectional areas of 3x3mm, 9x9mm, and 15x15mm. The final results show that as the temperature rises, the depth penetrated into the trench decreases. This could be due to the change in rate limiting steps as homogeneous reactions begin to increase at higher temperatures. Similar trends were observed with increasing pressure. As the pressure difference between the volume of the trenches and the rest of the chamber decreases, the push needed to xix force the precursor down the trench also decreases, resulting in less depth penetration. The effects of injection volume and concentration observed, can be explained by how much precursor molecules are present during one pulse cycle. The more that is available at any given time, the more likely a reaction will occur and deeper the penetration will get. Of course a ceiling or a limit exists where the molecules in the chamber will get evacuated without being reacted. The future work made possible as a result of the scaled up system are proposed. These include a scale up of the operational parameters to suit any given substrate geometry, improvements to the heating source to achieve greater thermal uniformity, further improvements to the overall system accessibility, and performing other depositions using different substrate materials and precursor types.
APA, Harvard, Vancouver, ISO, and other styles
37

Dutron, Anne-Marie. "Dépots LPCVD de siliciures ternaires Me-Si-N (Me= Re, W, Ti, Ta) pour des applications en microélectronique." Grenoble INPG, 1996. http://www.theses.fr/1996INPG0092.

Full text
Abstract:
Dans la metallisation avancee des circuits integres, le cuivre est envisage comme futur metal d'interconnexion et de contact. Cependant, son utilisation reste conditionnee par l'usage d'une barriere de diffusion tres performante susceptible de bloquer sa migration lors de traitements thermiques dans les materiaux voisins constitutifs des circuits integres. Cette etude a concerne l'elaboration par lpcvd de siliciures ternaires de type me-si-n (me= re, w, ti, ta) sur substrat de sio#2, et plus particulierement elle s'est orientee vers le choix d'une barriere de diffusion adequate repondant aux criteres requis pour une possible application industrielle. Une etude thermodynamique au prealable a notamment permis de classer les quatre materiaux en differentes categories. L'etude experimentale a montre que les systemes a base de metaux depourvus de nitrures men (cas du rhenium) ou depourvus de nitrures men stables (cas du tungstene) etaient obtenus a l'etat amorphe ou nanocristallise. En revanche les materiaux tisin et tasin dont les nitrures metalliques sont stables ont ete deposes a l'etat cristallise. Les caracterisations physico-chimiques des films ternaires ont ete realisees par diffraction rx, rutherford backscattering spectrometry, microscopies electroniques a balayage et a transmission, resistivite electrique, spectrometrie photoelectronique. Nous avons montre que le procede lpcvd utilise permettait un excellent recouvrement des marches et semblait donc plus interessant qu'un procede pvd. Les performances des quatre systemes me-si-n (me= re, w, ti, ta) en presence de cuivre et sous traitements thermiques ont ete evaluees de maniere exploratoire par analyse auger ainsi que par une caracterisation electrique c (v). Les proprietes du materiau ternaire wsin, en particulier sa morphologie amorphe, sa faible temperature de depot, sa resistivite electrique voisine de 1milliohm. Cm et ses performances, correspondent aux principaux criteres de selection d'une barriere de diffusion adequate
APA, Harvard, Vancouver, ISO, and other styles
38

Heier, Philip Christoph [Verfasser]. "Novel metallo-porphyrin based colourimetric amine sensors and their processing via plasma enhanced chemical vapour deposition at atmospheric pressure : synthesis, characterisation and mechanistic studies / Philip Christoph Heier." Mainz : Universitätsbibliothek Mainz, 2014. http://d-nb.info/1056989033/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Boukezzata, Messaoud. "Mecanismes d'oxydation des si-lpcvd fortement dopes au bore." Toulouse 3, 1988. http://www.theses.fr/1988TOU30183.

Full text
Abstract:
Etude de la cinetique et des mecanismes d'oxydation thermique de films dopes a 210**(20) cm**(-3) b "in situ" et par implantation post-depot. Les resultats prennent en compte les influences du mode de dopage et de la microstructure initiale des films (qui varie d'un etat quasi-amorphe a un etat nettement polycristallin). Leur analyse s'appuie sur a) un logiciel de modelisation de l'oxydation de si et la comparaison avec la cinetique d'oxydation de temoins monocristallins qui permettent de les exprimer en termes de constante de diffusion d et de la vitesse de reaction de surface k::(s) de l'oxydation, b) la comparaison entre depots non dopes, dopes a b par implantation ou "in situ" et c) le suivi des proprietes structurales (rugosite, diagrammes rheed, observations tem) et electroniques des films
APA, Harvard, Vancouver, ISO, and other styles
40

Halonen, N. (Niina). "Synthesis and applications of macroscopic well-aligned multi-walled carbon nanotube films." Doctoral thesis, Oulun yliopisto, 2013. http://urn.fi/urn:isbn:9789526202105.

Full text
Abstract:
Abstract The main objectives of this thesis are to synthesize macroscopic well-aligned multi-walled carbon nanotube films and, based on their electrical conductivity, porosity and structural uniformity, highlight potential applications for further development. In this thesis, catalytic chemical vapour deposition from ferrocene-xylene precursors is optimized to grow high quality films of long, aligned multi-walled carbon nanotubes on lithographically patterned templates in high (~800ºC) temperatures. The impacts of reaction time, temperature and precursor concentration on MWCNT film quality (film thickness, purity, density and nanotube diameter distribution) are studied. Because of the excellent control of growth selectivity and film thickness inherent to the method, several interesting applications, including solar cell and capacitor electrodes, contact brushes, coolers, particulate filters and catalyst membranes, have been developed for nanotube films in collaboration between Finnish and international research groups over the past few years. In this thesis, advanced capacitor electrodes with improved charge storage and efficient particulate filters are discussed in closer detail. As the high temperatures used for growing high quality carbon nanotubes often cause complications in cases where nanotubes need to be directly integrated with other materials, experiments were also conducted with the aim of making the growth temperature as low as possible. After testing several catalyst and precursor combinations, cobalt nanoparticles deposited on silica surfaces were found to form carbon nanotubes from vaporized cyclopentene oxide precursor already at 470°C. The results show that catalytic chemical vapour deposition is a feasible and versatile method that can be combined with photolithography to produce multi-walled carbon nanotube films with desired footprint area and thickness on various substrates. The demonstrated new applications and technical solutions are expected to contribute to further development leading to competitive practical devices based on carbon nanotubes
Tiivistelmä Tämän väitöstyön päätavoitteina ovat makroskooppisten, yhdensuuntaisista moniseinämäisistä hiilinanoputkista koostuvien kalvojen valmistaminen ja sovellutusten esittäminen perustuen kalvojen sähkönjohtavuuteen, huokoisuuten ja rakenteelliseen yhdenmukaisuuteen. Katalyyttis-kemiallinen höyryfaasikasvatusmenetelmä on optimoitu korkealaatuisten, yhdensuuntaisista, pitkistä moniseinämäisistä hiilinanoputkista koostuvien kalvojen tuottamiseen korkeissa lämpötiloissa (~800ºC) fotolitografialla kuvioiduille kasvualustoille käyttäen ferroseeni/ksyleeni-lähtöainetta. Reaktioajan, lämpötilan ja lähtöainepitoisuuden vaikutusta nanoputkikalvon laatuun on tutkittu tarkastelemalla kalvon paksuutta, puhtautta, tiheyttä ja nanoputkien läpimittajakaumaa. Erinomaisen kasvuselektiivisyyden ja kalvon paksuuden kontrolloimisen ansiosta nanoputkikalvoja voidaan räätälöidä useisiin mielenkiintoisiin sovellutuksiin (esim. aurinkokennot ja kondensaattorin elektrodit, hiiliharjat, jäähdyttimet, partikkelisuodattimet ja katalyyttikalvot), joita olemme kehittäneet viime vuosina yhdessä suomalaisten ja kansainvälisten tutkimusryhmien kanssa. Tässä väitöstyössä on tarkasteltu lähemmin uudentyyppisiä kondensaattorielektrodeja, joilla on parantunut sähkövarauksen varastointikyky, sekä tehokkaita partikkelisuodattimia. Hiilinanoputkien kasvattaminen korkeissa lämpötiloissa aiheuttaa usein ongelmia integroitaessa nanoputkia toisiin materiaaleihin. Tästä johtuen tutkimuksessa pyrittiin saamaan nanoputkien kasvatuslämpötila mahdollisimman alhaiseksi testaamalla useita lähtöaine-katalyytti-kombinaatioita, joista koboltti-nanopartikkelit piidioksidin päällä ja syklopenteenioksidi lähtöaineena muodostivat hiilinanoputkia jo 470°C:ssa. Tulosten perusteella katalyyttis-kemiallinen höyryfaasikasvatusmenetelmä yhdistettynä fotolitografiaan on hyvin monipuolinen tapa tuottaa moniseinämäisiä hiilinanoputkia halutulla kuviolla ja kalvonpaksuudella erilaisille substraateille. Tässä väitöstyössä demonstroitujen uusien sovellutusten ja teknisten ratkaisujen odotetaan johtavan uusiin, hiilinanoputkiin perustuviin kilpailukykyisiin käytännön laitteisiin
APA, Harvard, Vancouver, ISO, and other styles
41

Liu, An-Chyi, and 劉安淇. "Low Pressure Chemical vapour Deposition Ta2O5 Thin Films." Thesis, 1993. http://ndltd.ncl.edu.tw/handle/86052211589024132957.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Chang, Liang-Chao, and 張良肇. "GaNAs Growth by Low-Pressure Metalorganic Chemical Vapor Deposition." Thesis, 2000. http://ndltd.ncl.edu.tw/handle/25790188603562313739.

Full text
Abstract:
碩士
國立交通大學
電子物理系
88
GaNAs layer with good structural quality and surface morphology has been successfully grown on a GaAs substrate using low-pressure metal organic chemical vapor deposition epitaxy. In this work, dimethylhydrazine (DMHy), triethylgallium (TEGa) and arsine (AsH3) are used for nitrogen (N), gallium (Ga) and arsenic (As) sources. The content of nitrogen within the layers was analyzed by Double Crystal X-ray diffraction (DCX-ray) and secondary-ion mass spectrometry (SIMS). The fundamental band gap energy was determined by using absorption and photoluminescence data. GaNAs layer growth temperature has a significant influence on nitrogen content and epitaxy quality. GaNAs layers with nitrogen content have grown more than 4%. Typically, post-annealing of the samples increase the GaNAs film quality and strengthen the PL intensity. According to the absorption spectrum and X-ray diffraction measurements, the nitrogen content failed to change after thermal annealing. Alternately, we also discovered that adding NH3 during GaNAs growth failed to change the nitrogen content within the films, but rather the PL intensity was increased. Furthermore, we also successfully grew high quality GaNAs MQWs, which included an N content of 4%. An 1113 nm wavelength at 8K was obtained from the PL emission of annealing a sample at 650C.
APA, Harvard, Vancouver, ISO, and other styles
43

Jia-ShinChenn and 陳嘉辛. "Low-pressure Chemical Vapor Deposition for Efficient Perovskite Solar Cells." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/24463981307224932440.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Wang, Chun-nan, and 汪俊男. "Low pressure chemical vapor deposition of tantalum oxide thin films." Thesis, 1997. http://ndltd.ncl.edu.tw/handle/98973358860155436356.

Full text
Abstract:
碩士
國立交通大學
應用化學研究所
85
A procedure is studied to produce tantalum oxide thin films. The deposition uses tert-butylimidotris (diethylamido)tantalum in the presence of water vapor (0-1.64 mg/min) and oxygen gas (200 sccm) under the temperature 673-873 K and 573-873 K, respectively. Post annealing is employed under atmosphere oxygen at 1123 K. SEM, AFM, AES, ESCA, XRD, RGA, GC/MS are used to characterize the thinfilms. Grain size ranging from 30-60 nm are observedwhen deposited with H2O. Columnar grains are found when deposited with oxygen.Films are shrunk and rougher after annealing. Growth rates of the films grownwithout water vapor are 26-40 A/min* while the growth rate of films grown withH2O and O2 are 4-8.4 A/minand 10 A/min, respectively. Distribution of tantalumand oxygen is uniform in the film as indicated by AES. Films are amorphous before annealing and crystallized into b-Ta2O5 after annealing. With RGA andGC/MS,H2C=CMe2, CH3CN, Et2NH, H2 and HONEt2 are found in the deposition. Electrical properties of the films grown with oxygen are also measured. Leakagecurrent are suppressed to 2x10-8 A/cm2 after annealed for 30 min. Breakdownvoltage is 3 MV/cm. Dielectric constant of anneal 30 min and 60 min are 22 and 35, respectively
APA, Harvard, Vancouver, ISO, and other styles
45

ZHANG, ZHI-HAO, and 張志浩. "Heteroepitaxial growth of ZnSe by low pressure metalorganic chemical vapor deposition." Thesis, 1988. http://ndltd.ncl.edu.tw/handle/20734399576986599981.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

ZHOU, HEN-CHANG, and 周恒昌. "Low pressure chemical vapor deposition tungsten silicide gate for GaAs IC." Thesis, 1986. http://ndltd.ncl.edu.tw/handle/43402695986363412405.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

WU, PEI-FANG, and 吳佩芳. "Low pressure chemical vapor deposition of SiC thin films from organopolysilanes." Thesis, 1990. http://ndltd.ncl.edu.tw/handle/02740430655594163046.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

LI, SHU-FEN, and 李淑芬. "Low pressure chemical vapor deposition of silicon carbide thin films from dodecamethylcyclohexasilane." Thesis, 1991. http://ndltd.ncl.edu.tw/handle/78532155471821490694.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

HUANG, ZHENG-ZHONG, and 黃正中. "Low pressure chemical vapor deposition of thin films from metal amide complexes." Thesis, 1990. http://ndltd.ncl.edu.tw/handle/02601500217364901777.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Keng-ChihLiang and 梁耿誌. "Low pressure chemical vapor deposition of graphene and its characterization and applications." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/92182713607517140397.

Full text
Abstract:
碩士
國立成功大學
光電科學與工程學系
100
Two dimensional (2-D) graphene and three-dimensional (3-D) snowflake grow by similar diffusion limited mechanisms to form structures of six-ford symmetry with branches. Compared to a flat edge, corners of a graphene hexagon have more bonding atoms per unit length for easier carbon adsorption and are subjected to a higher carbon flux from a wider incident angle. For small graphene, a large fraction of carbon atoms are at edges compared to large graphene. These edges are etched by atomic hydrogen to become an additional supply of carbon besides dissociation of methane using copper as a catalyst, resulting in rapid growth mechanism resemble snowflake in nature. Further growth forms a network of copper alleys which are surrounded by graphene branches. By tailoring the hydrogen partial pressure to promote graphene growth a continuous film with large domains has been synthesized. For the biosensor application of the single layer atomic material, we report on strong plasmonic coupling from silver nanoparticles covered by hydrogen-terminated chemically vapor deposited single-layer graphene, and its effects on the detection and identification of adenine molecules through surface-enhanced Raman spectroscopy (SERS). The high resistivity of the graphene after subjecting to remote plasma hydrogenation allows plasmonic coupling induced strong local electromagnetic fields among the silver nanoparticles to penetrate the graphene, and thus enhances the SERS efficiency of adenine molecules adsorbed on the film. The graphene layer protects the nanoparticles from reactive and harsh environments and provides a chemically inert and biocompatible carbon surface for SERS applications.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography