Journal articles on the topic 'Lithographic applications'

To see the other types of publications on this topic, follow the link: Lithographic applications.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Lithographic applications.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Kwon, B., and Jong H. Kim. "Importance of Molds for Nanoimprint Lithography: Hard, Soft, and Hybrid Molds." Journal of Nanoscience 2016 (June 22, 2016): 1–12. http://dx.doi.org/10.1155/2016/6571297.

Full text
Abstract:
Nanoimprint lithography has attracted considerable attention in academic and industrial fields as one of the most prominent lithographic techniques for the fabrication of the nanoscale devices. Effectively controllable shapes of fabricated elements, extremely high resolution, and cost-effectiveness of this especial lithographic system have shown unlimited potential to be utilized for practical applications. In the past decade, many different lithographic techniques have been developed such as electron beam lithography, photolithography, and nanoimprint lithography. Among them, nanoimprint lithography has proven to have not only various advantages that other lithographic techniques have but also potential to minimize the limitations of current lithographic techniques. In this review, we summarize current lithography techniques and, furthermore, investigate the nanoimprint lithography in detail in particular focusing on the types of molds. Nanoimprint lithography can be categorized into three different techniques (hard-mold, soft-mold, and hybrid nanoimprint) depending upon the molds for imprint with different advantages and disadvantages. With numerous studies and improvements, nanoimprint lithography has shown great potential which maximizes its effectiveness in patterning by minimizing its limitations. This technique will surely be the next generation lithographic technique which will open the new paradigm for the patterning and fabrication in nanoscale devices in industry.
APA, Harvard, Vancouver, ISO, and other styles
2

Hruby, Jill. "LIGA Technologies and Applications." MRS Bulletin 26, no. 4 (April 2001): 337–40. http://dx.doi.org/10.1557/mrs2001.76.

Full text
Abstract:
LIGA, an acronym for the German words for lithography, electroplating, and molding, is a technique used to produce micro-electromechanical systems (MEMS) made from metals, ceramics, or plastics. The LIGA process utilizes x-ray synchrotron radiation as a lithographic light source. Highly collimated, high-energy x-rays from the synchrotron impinge on a patterned mask in proximity to an x-ray-sensitive photoresist, typically poly(methyl methacrylate) (PMMA).
APA, Harvard, Vancouver, ISO, and other styles
3

Huenger, Eric, Joe Lachowski, Greg Prokopowicz, Ray Thibault, Michael Gallagher, Scott Kisting, Lynne Mills, and Masaki Kondoh. "Low Temperature Curing - Aqueous Base Developable Photoimageable Dielectric for WLP (Wafer Level Packaging)." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, DPC (January 1, 2012): 000986–1015. http://dx.doi.org/10.4071/2012dpc-tp25.

Full text
Abstract:
As advanced packaging application space evolves and continues to deviate from the conventional shrinkage pathway predicted by Moore's law, material suppliers need to continue to work with OEMs, OSATs and Foundries to identify specific opportunities. One such opportunity continues to present itself in developing new materials to support new platforms for next generation products to support 3D chip stacking and TSV applications. The newer material sets can be established to meet more challenging design requirements associated with the demands, presented by the application from both a physical/lithographical processing and design perspective. Next generation packages requires the development of new dielectric materials that can support both the physical demands of 3D chip stacking and TSV package design aspects while maintaining strengths of the existing material platform. While vertical integration necessitates the use of thinned substrates and its associated integration challenges, there is a continuing need to support horizontal shrinkage typical of the Moore's Law, which pushes the lithography envelope requiring finer pitch and smaller feature resolution capability. This presentation identifies the strategy we have taken and highlights approach taking in the development of low temperature curable photoimageable dielectric materials with enhanced lithographic performance. We will discuss the methodology used to create benzocyclobutene based dielectric material curable at 180 °C and show how lithographic performance can be tuned to allow sub 5 micron via using broad band illumination. Finally we will review the impact of low temperature processing on the mechanical, thermal and electrical properties of this novel photoimageable dielectric material.
APA, Harvard, Vancouver, ISO, and other styles
4

Finter, J. "Photopolymer Systems for Lithographic Applications." Molecular Crystals and Liquid Crystals Incorporating Nonlinear Optics 161, no. 1 (August 1988): 231–53. http://dx.doi.org/10.1080/00268948808070251.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Angelopoulos, Marie. "Lithographic applications of conducting polymers." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 9, no. 6 (November 1991): 3428. http://dx.doi.org/10.1116/1.585816.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Schriever, Guido, and Peter Zink. "EUV Sources for Lithographic Applications." Optik & Photonik 3, no. 2 (June 2008): 40–43. http://dx.doi.org/10.1002/opph.201190189.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Hatzakis, Michael. "Organosilicon polymers for lithographic applications." Makromolekulare Chemie. Macromolecular Symposia 24, no. 1 (January 1989): 169–75. http://dx.doi.org/10.1002/masy.19890240117.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Stewart, Michael D., and C. Grant Willson. "Imprint Materials for Nanoscale Devices." MRS Bulletin 30, no. 12 (December 2005): 947–51. http://dx.doi.org/10.1557/mrs2005.248.

Full text
Abstract:
AbstractNanoimprint lithography is a potentially low-cost, high-resolution patterning technique, but most of the surrounding development work has been directed toward tool designs and processing techniques. There remains a tremendous opportunity and need to develop new materials for specific nanoimprint applications. This article provides an overview of relevant materials-related development work for nanoimprint lithographic applications. Material requirements for nanoimprint patterning for the sub-45-nm integrated-circuit regime are discussed, along with proposed nanoimprint applications such as imprintable dielectrics, conducting polymers, biocompatible materials, and materials for microfluidic devices. Polymers available for thermal nanoimprint processing and photocurable precursors for ultraviolet-assisted nanoimprint lithography are discussed.
APA, Harvard, Vancouver, ISO, and other styles
9

WATT, F., A. A. BETTIOL, J. A. VAN KAN, E. J. TEO, and M. B. H. BREESE. "ION BEAM LITHOGRAPHY AND NANOFABRICATION: A REVIEW." International Journal of Nanoscience 04, no. 03 (June 2005): 269–86. http://dx.doi.org/10.1142/s0219581x05003139.

Full text
Abstract:
To overcome the diffraction constraints of traditional optical lithography, the next generation lithographies (NGLs) will utilize any one or more of EUV (extreme ultraviolet), X-ray, electron or ion beam technologies to produce sub-100 nm features. Perhaps the most under-developed and under-rated is the utilization of ions for lithographic purposes. All three ion beam techniques, FIB (Focused Ion Beam), Proton Beam Writing (p-beam writing) and Ion Projection Lithography (IPL) have now breached the technologically difficult 100 nm barrier, and are now capable of fabricating structures at the nanoscale. FIB, p-beam writing and IPL have the flexibility and potential to become leading contenders as NGLs. The three ion beam techniques have widely different attributes, and as such have their own strengths, niche areas and application areas. The physical principles underlying ion beam interactions with materials are described, together with a comparison with other lithographic techniques (electron beam writing and EUV/X-ray lithography). IPL follows the traditional lines of lithography, utilizing large area masks through which a pattern is replicated in resist material which can be used to modify the near-surface properties. In IPL, the complete absence of diffraction effects coupled with ability to tailor the depth of ion penetration to suit the resist thickness or the depth of modification are prime characteristics of this technique, as is the ability to pattern a large area in a single brief irradiation exposure without any wet processing steps. p-beam writing and FIB are direct write (maskless) processes, which for a long time have been considered too slow for mass production. However, these two techniques may have some distinct advantages when used in combination with nanoimprinting and pattern transfer. FIB can produce master stamps in any material, and p-beam writing is ideal for producing three-dimensional high-aspect ratio metallic stamps of precise geometry. The transfer of large scale patterns using nanoimprinting represents a technique of high potential for the mass production of a new generation of high area, high density, low dimensional structures. Finally a cross section of applications are chosen to demonstrate the potential of these new generation ion beam nanolithographies.
APA, Harvard, Vancouver, ISO, and other styles
10

Lauria, John, Ronald Albright, Olga Vladimirsky, Maarten Hoeks, Roel Vanneer, Bert van Drieenhuizen, Luoqi Chen, Luc Haspeslagh, and Ann Witvrouw. "SLM device for 193nm lithographic applications." Microelectronic Engineering 86, no. 4-6 (April 2009): 569–72. http://dx.doi.org/10.1016/j.mee.2008.11.022.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Díaz, Diego J., Jamie E. Hudson, Gregory D. Storrier, Héctor D. Abruña, Narayanan Sundararajan, and Christopher K. Ober. "Lithographic Applications of Redox Probe Microscopy." Langmuir 17, no. 19 (September 2001): 5932–38. http://dx.doi.org/10.1021/la010561j.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Clendenning, Scott B., and Ian Manners. "Lithographic applications of highly metallized polyferrocenylsilanes." Macromolecular Symposia 196, no. 1 (July 2003): 71–76. http://dx.doi.org/10.1002/masy.200390178.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Ahmad Kamal, Ahmad Syazwan, Cheng-Chieh Lin, Zhiyu Wang, Di Xing, Yang-Chun Lee, Mu-Hsin Chen, Ya-Lun Ho, Chun-Wei Chen, and Jean-Jacques Delaunay. "CsPbBr3 nanocrystals plasmonic distributed Bragg reflector waveguide laser." Applied Physics Letters 122, no. 7 (February 13, 2023): 071104. http://dx.doi.org/10.1063/5.0128232.

Full text
Abstract:
The recent development of perovskite-based lasers showcased the outstanding optical properties of the material such as high absorption coefficient and high quantum yield. The lasers were demonstrated in the form of nanowires and nanoplates, which are difficult to be integrated on a chip in the form of high-density arrays due to the difficulties in positioning them on the chip. The solution to this problem should be to use the well-known lithography process in the fabrication process of the lasers. In this work, we demonstrate several perovskite-based plasmonic lasers that were fabricated by using the lithographic in-mold patterning method that relies on the electron beam lithography process. The lasers utilized CsPbBr3 perovskite nanocrystals as the gain material and plasmonic distributed Bragg reflector grating structure as the optical feedback provider to achieve a low lasing threshold of 42.5 μJ/cm2 with a linewidth of 0.6 nm (FWHM) at room temperature. The use of the lithographic process in the fabrication of the lasers makes it possible to fabricate and integrate them on a chip in a relatively high-density manner, so that they can be used extensively in quantum optics and on-chip integrated photonics applications.
APA, Harvard, Vancouver, ISO, and other styles
14

Lo, Ting-Ya, Mohan Raj Krishnan, Kai-Yuan Lu, and Rong-Ming Ho. "Silicon-containing block copolymers for lithographic applications." Progress in Polymer Science 77 (February 2018): 19–68. http://dx.doi.org/10.1016/j.progpolymsci.2017.10.002.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Kato, Tadao, Akihiko Yasuoka, and Kyoichiro Fujikawa. "Focused ion beam technologies for lithographic applications." Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 37-38 (February 1989): 218–23. http://dx.doi.org/10.1016/0168-583x(89)90173-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Domonkos, Mária, Pavel Demo, and Alexander Kromka. "Nanosphere Lithography for Structuring Polycrystalline Diamond Films." Crystals 10, no. 2 (February 14, 2020): 118. http://dx.doi.org/10.3390/cryst10020118.

Full text
Abstract:
This paper deals with the structuring of polycrystalline diamond thin films using the technique of nanosphere lithography. The presented multistep approaches relied on a spin-coated self-assembled monolayer of polystyrene spheres, which served as a lithographic mask for the further custom nanofabrication steps. Various arrays of diamond nanostructures—close-packed and non-close-packed monolayers over substrates with various levels of surface roughness, noble metal films over nanosphere arrays, ordered arrays of holes, and unordered pores—were created using reactive ion etching, chemical vapour deposition, metallization, and/or lift-off processes. The size and shape of the lithographic mask was altered using oxygen plasma etching. The periodicity of the final structure was defined by the initial diameter of the spheres. The surface morphology of the samples was characterized using scanning electron microscopy. The advantages and limitations of the fabrication technique are discussed. Finally, the potential applications (e.g., photonics, plasmonics) of the obtained nanostructures are reviewed.
APA, Harvard, Vancouver, ISO, and other styles
17

Yellen, B. B., G. Friedman, and K. A. Barbee. "Programmable Self-Aligning Ferrofluid Masks for Lithographic Applications." IEEE Transactions on Magnetics 40, no. 4 (July 2004): 2994–96. http://dx.doi.org/10.1109/tmag.2004.829836.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

TEO, SELIN H. G., A. Q. LIU, J. SINGH, M. B. YU, H. Q. SUN, and N. SINGH. "SUB-100 nm LITHOGRAPHY WITH PATTERN AND PARTIAL COHERENCE CONTROL." International Journal of Nanoscience 05, no. 04n05 (August 2006): 383–88. http://dx.doi.org/10.1142/s0219581x06004516.

Full text
Abstract:
This paper describes optical patterning of sub-lithographic wavelength features using only conventional chrome-on-glass binary photomasks without phase-shift features. The sub-100 nm patterns were obtained through manipulation of masks bias designs and partial coherence control of the lithographic radiation. The key factors in the design of experiments are the density and design of masks patterns and the partial coherence of exposure radiation system used. Based on the study, smallest resolution of 36% that of designed value can be obtained for features of 75 nm using a 200 nm designed line width. Besides indication of proposed process' resolution limits for further applications, advantages of the method allows its application to applications requiring sub-100 nm critical dimensions through use of biased pattern designs, especially feasible in devices such as deep sub-micrometer comb drives actuators in nano-microelectromechanical structures; metal–semiconductor–metal photodetectors, and nanophotonic crystal structures, etc.
APA, Harvard, Vancouver, ISO, and other styles
19

Fang, Bin, Jiafeng Feng, Hongxiang Wei, Xiufeng Han, Baoshun Zhang, and Zhongming Zeng. "Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography." Journal of Nanomaterials 2015 (2015): 1–6. http://dx.doi.org/10.1155/2015/973957.

Full text
Abstract:
We fabricate nanoscale spin-transfer oscillators (STOs) by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit) and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.
APA, Harvard, Vancouver, ISO, and other styles
20

Garner, Grant P., Paulina Rincon Delgadillo, Roel Gronheid, Paul F. Nealey, and Juan J. de Pablo. "Design of surface patterns with optimized thermodynamic driving forces for the directed self-assembly of block copolymers in lithographic applications." Molecular Systems Design & Engineering 2, no. 5 (2017): 567–80. http://dx.doi.org/10.1039/c7me00028f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

PRZYBILLA, KLAUS-JÜRGEN, RALPH DAMMEL, HORST RÖSCHERT, WALTER SPIESS, and GEORG PAWLOWSKI. "New t-BOC blocked polymers for advanced lithographic applications." Journal of Photopolymer Science and Technology 4, no. 3 (1991): 421–32. http://dx.doi.org/10.2494/photopolymer.4.421.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Hiraoka, Hiroyuki, Sylvain Lazare, and Alain Cros. "Lithographic applications of excimer laser exposures of polymeric films." Journal of Photopolymer Science and Technology 4, no. 3 (1991): 463–68. http://dx.doi.org/10.2494/photopolymer.4.463.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Okoroanyanwu, Uzodinma, Jeffrey Byers, Tsutomu Shimokawa, and C. Grant Willson. "Alicyclic Polymers for 193 nm Resist Applications: Lithographic Evaluation." Chemistry of Materials 10, no. 11 (November 1998): 3328–33. http://dx.doi.org/10.1021/cm970505x.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Heertjes, Marcel, and Tim Tso. "Nonlinear iterative learning control with applications to lithographic machinery." Control Engineering Practice 15, no. 12 (December 2007): 1545–55. http://dx.doi.org/10.1016/j.conengprac.2007.03.005.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Wieberger, Florian, Drew C. Forman, Christian Neuber, André H. Gröschel, Marietta Böhm, Axel H. E. Müller, Hans-Werner Schmidt, and Christopher K. Ober. "Tailored star-shaped statistical teroligomers viaATRP for lithographic applications." J. Mater. Chem. 22, no. 1 (2012): 73–79. http://dx.doi.org/10.1039/c1jm11922b.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

SHIN, HAYONG, SEYOUN PARK, EONJIN PARK, and DEOK-SOO KIM. "VORONOI DIAGRAM OF A POLYGON IN CHESSBOARD METRIC AND MASKLESS LITHOGRAPHIC APPLICATIONS." International Journal of Computational Geometry & Applications 18, no. 04 (August 2008): 357–71. http://dx.doi.org/10.1142/s0218195908002672.

Full text
Abstract:
Lithography using photomasks has been the major workhorse in manufacturing printed circuit boards, semiconductors, and flat panel display devices. However, the cost of photomask is so high that it often becomes the bottleneck, especially when the production volume is low. For this reason, maskless lithography technology is recently gaining more attention, and hence, the computation of efficient lithography path becomes of greater importance than ever in order to obtain high throughput of lithography process. The target machine of this paper has a numerically controlled XY table on which a substrate is located and a variable size (square-shape) aperture in front of the light source. In this paper, we present an approach to efficient lithography path generation using Voronoi diagram and medial axis transform in chessboard metric. The properties and construction method of Voronoi diagram of a polygonal object in chessboard metric are examined. Then, lithography path generation scheme is explained. The proposed idea can also be applied to the fabrication of photomask itself and the rapid prototyping of a 3D model via layered lithography.
APA, Harvard, Vancouver, ISO, and other styles
27

TEO, SELIN H. G., A. Q. LIU, G. L. SIA, C. LU, J. SINGH, M. B. YU, and H. Q. SUN. "DEEP UV LITHOGRAPHY FOR PILLAR TYPE NANOPHOTONIC CRYSTAL." International Journal of Nanoscience 04, no. 04 (August 2005): 559–66. http://dx.doi.org/10.1142/s0219581x05003577.

Full text
Abstract:
The progress made to resolve challenges met in using deep UV lithography for batch fabrication of pillar type nano-photonic crystals is described, using data from experiments carried out based on two degrees, full factorial design of experiments and subsequently processed using variance analysis. A binary mask without phase shift features was used to obtain information on effects of lithographic parameters such as antireflection coatings, resist preparation recipes, exposure latitude and depth of focus biases. The results derived hence enabled successful derivations of high quality arrays of dense nano-pillars.
APA, Harvard, Vancouver, ISO, and other styles
28

Fallica, Roberto. "Beyond grayscale lithography: inherently three-dimensional patterning by Talbot effect." Advanced Optical Technologies 8, no. 3-4 (June 26, 2019): 233–40. http://dx.doi.org/10.1515/aot-2019-0005.

Full text
Abstract:
Abstract There are a growing number of applications where three-dimensional patterning is needed for the fabrication of micro- and nanostructures. Thus far, grayscale lithography is the main technique for obtaining a thickness gradient in a resist material that is exploited for pattern transfer by anisotropic etch. However, truly three-dimensional structures can only be produced by unconventional lithography methods such as direct laser writing, focused ion beam electrodeposition, colloidal sphere lithography, and tilted multiple-pass projection lithography, but at the cost of remarkable complexity and lengthiness. In this work, the three-dimensional shape of light, which is formed by Talbot effect diffraction, was exploited to produce inherently three-dimensional patterns in a photosensitive polymer. Using light in the soft X-ray wavelength, periodic three-dimensional structures of lateral period 600 nm were obtained. The position at which the sample has to be located to be in the Fresnel regime was simulated using an analytical implementation of the Fresnel integrals approach. Exploiting the light shape forming in diffraction effects thus enables the patterning of high-resolution three-dimensional nanostructures over a large area and with a single exposure pass – which would be otherwise impossible with conventional lithographic methods.
APA, Harvard, Vancouver, ISO, and other styles
29

Costa, João, Daniel Almeida, Alessandro Fantoni, Paulo Lourenço, and Manuela Vieira. "Silicon Nitride Interferometers for Optical Sensing with Multi-micron Dimensions." Journal of Physics: Conference Series 2407, no. 1 (December 1, 2022): 012005. http://dx.doi.org/10.1088/1742-6596/2407/1/012005.

Full text
Abstract:
Abstract Increasing the size of the smallest features of Photonic Integrated Circuits (PICs) to multi-micron dimensions can be advantageous to avoid expensive and complex lithographic steps in the fabrication process. In applications where extremely reduced chip size is not a requirement, the design of devices with multi-micron dimensions is potential interesting to avoid the need for e-beam lithography. Another benefit is that making the dimensions larger reduces the effect of lithographic imperfections such as waveguide surface roughness. However, the benefits do not come without limitations. Coupling the light in and out of the circuit is more challenging since diffraction gratings are not available when designing for such large dimensions. Circuit bends must have a larger radius of curvature and the existence of multimode propagation conditions can have detrimental impact in the performance of several devices, such as interferometers. In this study we perform simulations of the coupling between a lensed multimode optical fiber and a multi-micron a-SiN:H rib waveguide. Light coupling efficiency is analyzed as a function of distance variations using the FDTD method and compared with coupling to a strip waveguide. Moreover, we use numerical simulations to study the performance of a Mach-Zehnder interferometer sensitive to refractive index variations. Both the interferometer, splitters and combiners are designed with multi-micron dimensions.
APA, Harvard, Vancouver, ISO, and other styles
30

Cheng, Joy Y., Alshaki Nelson, Charles T. Rettner, Daniel P. Sanders, Alexander Sutherland, Jed W. Pitera, Young-Hye Na, Ho-Cheol Kim, and William Hinsberg. "Directed Self-assembly on Sparse Chemical Patterns for Lithographic Applications." Journal of Photopolymer Science and Technology 22, no. 2 (2009): 219–22. http://dx.doi.org/10.2494/photopolymer.22.219.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Davidson, K., S. El-Attawy, M. El-Gamal, M. A. Khattab, and A. M. El-Demerdach. "Synthesis of New Polymers for Photoresist and Lithographic Printing Applications." High Performance Polymers 14, no. 1 (March 2002): 3–15. http://dx.doi.org/10.1177/0954008302014001091.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Chan, Wing Yan, Alison Y. Cheng, Scott B. Clendenning, and Ian Manners. "Synthesis and lithographic applications of highly metallized cluster-based polyferrocenylsilanes." Macromolecular Symposia 209, no. 1 (March 2004): 163–76. http://dx.doi.org/10.1002/masy.200450511.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Shih, Ching-Jui, Shih-Fu Ou, Chia-Hung Yeh, Chao-Sung Lin, and Yung-Ning Pan. "Applications of lithographic mask technology in fabrication of diamond dresser." International Journal of Advanced Manufacturing Technology 68, no. 9-12 (March 6, 2013): 2329–34. http://dx.doi.org/10.1007/s00170-013-4845-9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Jacques, E., L. Ni, A. C. Salaün, R. Rogel, and L. Pichon. "Polysilicon Nanowires for chemical sensing applications." MRS Proceedings 1439 (2012): 133–38. http://dx.doi.org/10.1557/opl.2012.1217.

Full text
Abstract:
ABSTRACTPolycrystalline silicon nanowires are synthesized using a classical fabrication method commonly used in microelectronic industry: the sidewall spacer formation technique. Assets of this technological process rest on low cost lithographic tools use, classical silicon planar technology compatibility and the possibility to get by direct patterning numerous parallel nanowires with precise location on the substrate. Grounded and suspended polycrystalline silicon nanowires with a curvature radius as low as 150nm are integrated into resistors and used as gas (ammonia) sensors. Results show potential use of these nanowires for charged chemical species detection with an increase of the sensitivity with the increase of SiNWs exchange surface with the environment.
APA, Harvard, Vancouver, ISO, and other styles
35

Shamsuddin, Liyana, Khairudin Mohamed, and Alsadat Rad Maryam. "The Investigation of Microstructures Fabrication on Quartz Substrate Employing Electron Beam Lithography (EBL) and ICP-RIE Process." Advanced Materials Research 980 (June 2014): 69–73. http://dx.doi.org/10.4028/www.scientific.net/amr.980.69.

Full text
Abstract:
The fabrication of micro or nano-structures on quartz substrate has attracted researchers' attention and interests in recent years due to a wide range of potential applications such as NEMS/MEMS, sensors and biomedical engineering. Various types of next generation lithographic methods have been explored since optical lithography physical limitations has hindered the fabrication of high aspects ratio (HAR) structure on quartz substrates. In this research, the top-down fabrication approach was employed to fabricate microstructures on quartz substrate using Electron Beam Lithography (EBL) system, followed by the pattern transfer process using Inductively Coupled Plasma-Reactive Ion Etching (ICP-RIE) technique. The factors that influenced pattern definition include the type of electron beam (e-beam) photoresist, e-beam exposure parameter such as spot size, working distance, write field, step size, e-beam current, dosage as well as the type of developer and its developing time. The optimum conditions were investigated in achieving micro or nano-structures. Field emission scanning electron microscopy (FESEM) with energy-dispersive X-ray (EDX) and atomic force microscope (AFM) were utilized to characterize the structures profiles.
APA, Harvard, Vancouver, ISO, and other styles
36

Jones, Richard G., Christopher K. Ober, Teruaki Hayakawa, Christine K. Luscombe, and Natalie Stingelin. "Terminology of polymers in advanced lithography (IUPAC Recommendations 2020)." Pure and Applied Chemistry 92, no. 11 (November 26, 2020): 1861–91. http://dx.doi.org/10.1515/pac-2018-1215.

Full text
Abstract:
AbstractAs increasingly smaller molecular materials and material structures are devised or developed for technological applications, the demands on the processes of lithography now routinely include feature sizes that are of the order of 10 nm. In reaching such a fine level of resolution, the methods of lithography have increased markedly in sophistication and brought into play 2terminology that is unfamiliar, on the one hand, to scientists tasked with the development of new lithographic materials or, on the other, to the engineers who design and operate the complex equipment that is required in modern-day processing. Publications produced by scientists need to be understood by engineers and vice versa, and these commonly arise from collaborative research that draws heavily on the terminology of two or more of the traditional disciplines. It is developments in polymer science and material science that lead progress in areas that cross traditional boundaries, such as microlithography. This document provides the exact definitions of a selection of unfamiliar terms that researchers and practitioners from different disciplines might encounter.
APA, Harvard, Vancouver, ISO, and other styles
37

Richards, J. F., E. B. Troughton, R. A. Dennis, and P. E. Russell. "Atomic force microscopy studies of microstructure and properties of self-assembled monolayers." Proceedings, annual meeting, Electron Microscopy Society of America 54 (August 11, 1996): 864–65. http://dx.doi.org/10.1017/s0424820100166786.

Full text
Abstract:
Self-assembled monolayers are unique structures and have received considerable attention from microscopists seeking to image the predicted molecular level structure. More recently, practical engineering applications of SAMs have been proposed in areas ranging from corrosion barriers to adhesion promoters to lithographic resists. While some of the applications of interest, most notably the lithographic resists, can be developed on substrates close to the ideal; such as single crystal Si wafers or thin epitaxial films; many others will require the coating of very non-ideal surfaces. These may range from materials such as Al or ferrous based metals to engineering polymeric materials. In this study we have taken a two-pronged approach to develop reliable systematic atomic force microscopy (AFM) techniques for the determination of both microstructure and properties of SAMs on various substrates of interest.We have chosen to investigate n-alkanethiols (SH-(CH2)n-1-CH3) on single crystal gold as our reference system for technique development.
APA, Harvard, Vancouver, ISO, and other styles
38

Doering, Christoph, Johannes Strassner, and Henning Fouckhardt. "Lithography-Free Technology for the Preparation of Digital Microfluidic (DMF) Lab-Chips with Droplet Actuation by Optoelectrowetting (OEW)." International Journal of Analytical Chemistry 2022 (May 29, 2022): 1–6. http://dx.doi.org/10.1155/2022/2011170.

Full text
Abstract:
Electrically conducting liquid droplets can be activated and moved by electrowetting-on-dielectric (EWOD) and optoelectrowetting (OEW). An important application is droplet manipulation in digital microfluidics (DMF, lab-on-a-chip 2.0) as a chip-sized chemical laboratory. For spectroscopic analyses of chemical reactions, it is often necessary to prepare or examine the reagent droplets before, during, and after the reaction. With OEW, single droplets with volumes of 50–250 nl can be moved, analyzed, and merged in one pipetting step. To ensure analysis sensitivity in many applications, lab-chips should only be used once due to contamination of the surface and chemical modification of the layers by the droplets. Single-use chip preparation without a lithographic step, e.g., for the definition of the spacer layer, reduces efforts and costs. Here, exemplarily, we demonstrate the OEW-driven movement and mixing of chemical reagents in a simple color change reaction analyzed by absorption spectroscopy. Stripes made from the insulating tape serve as spacers between sub and superstrate, and any lithographic step can be avoided.
APA, Harvard, Vancouver, ISO, and other styles
39

Wengert, Nicolai, Marwène Nefzi, Peter Eberhard, and Bernhard Geuppert. "Dynamics in lithographic projection objectives." Multibody System Dynamics 30, no. 2 (February 2, 2013): 233–45. http://dx.doi.org/10.1007/s11044-013-9344-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Wood, M. A. "Colloidal lithography and current fabrication techniques producing in-plane nanotopography for biological applications." Journal of The Royal Society Interface 4, no. 12 (August 23, 2006): 1–17. http://dx.doi.org/10.1098/rsif.2006.0149.

Full text
Abstract:
Substrate topography plays a vital role in cell and tissue structure and function in situ , where nanometric features, for example, the detail on single collagen fibrils, influence cell behaviour and resultant tissue formation. In vitro investigations demonstrate that nanotopography can be used to control cell reactions to a material surface, indicating its potential application in tissue engineering and implant fabrication. Developments in the catalyst, optical, medical and electronics industries have resulted in the production of nanopatterned surfaces using a variety of methods. The general protocols for nanomanufacturing require high resolution and low cost for fabricating devices. With respect to biological investigations, nanotopographies should occur across a large surface area (ensuring repeatability of experiments and patterning of implant surfaces), be reproducible (allowing for consistency in experiments), and preferably, accessible (limiting the requirement for specialist equipment). Colloidal lithography techniques fit these criteria, where nanoparticles can be utilized in combination with a functionalized substrate to produce in-plane nanotopographies. Subsequent lithographic processing of colloidal substrates utilizing, for example, reactive ion etching allows the production of modified colloidal-derived nanotopographies. In addition to two-dimensional in-plane nanofabrication, functionalized structures can be dip coated in colloidal sols, imparting nanotopographical cues to cells within a three-dimensional environment.
APA, Harvard, Vancouver, ISO, and other styles
41

Cameron, James, John Amara, Jin Wuk Sung, David Valeri, Adam Ware, Kevin O'Shea, Yoshihiro Yamamoto, et al. "Design and Development of Developable BARCs (DBARCs) for Advanced Lithographic Applications." Journal of Photopolymer Science and Technology 23, no. 5 (2010): 721–29. http://dx.doi.org/10.2494/photopolymer.23.721.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Wachulak, P., M. Marconi, A. Isoyan, L. Urbanski, A. Bartnik, H. Fiedorowicz, and R. Bartels. "Aspects of nanometer scale imaging with extreme ultraviolet (EUV) laboratory sources." Opto-Electronics Review 20, no. 1 (January 1, 2012): 1–14. http://dx.doi.org/10.2478/s11772-012-0008-z.

Full text
Abstract:
AbstractImaging systems with nanometer resolution are instrumental to the development of the fast evolving field of nanoscience and nanotechnology. Decreasing the wavelength of illumination is a direct way to improve the spatial resolution in photon-based imaging systems and motivated a strong interest in short wavelength imaging techniques in the extreme ultraviolet (EUV) region. In this review paper, various EUV imaging techniques, such as 2D and 3D holography, EUV microscopy using Fresnel zone plates, EUV reconstruction of computer generated hologram (CGH) and generalized Talbot self-imaging will be presented utilizing both coherent and incoherent compact laboratory EUV sources. Some of the results lead to the imaging with spatial resolution reaching 50 nm in a very short exposure time. These techniques can be used in a variety of applications from actinic mask inspection in the EUV lithography, biological imaging to mask-less lithographic processes in nanofabrication.
APA, Harvard, Vancouver, ISO, and other styles
43

Fitzgerald, A. G., and S. M. Potrous. "A study of the interaction of silver with amorphous chalcogenide films in the Scanning Electron Microscope." Proceedings, annual meeting, Electron Microscopy Society of America 48, no. 4 (August 1990): 694–95. http://dx.doi.org/10.1017/s0424820100176605.

Full text
Abstract:
The diffusion of silver in amorphous chalcogenides is the basis for high-resolution lithographic applications. Previous studies of the diffusion of silver on contact with chalcogenide films has been studied by Auger depth profiling and the effects of photodoping on chemical bonding have been studied by x-ray photoelectron spectroscopy. Electron lithographic effects have been studied in the transmission electron microscope.The objective of the investigation described here has been to determine the degree of diffusion of silver in the amorphous chalcogenides, As2S3, As2Se3, GeS and GeSe when these films are in contact with thin silver films. The silver distribution has been determined by x-ray microanalysis of film cross-sections in the scanning electron microscope (SEM). Electron beam induced conductivity (EBIC) at points in these films has also been investigated.
APA, Harvard, Vancouver, ISO, and other styles
44

Swaminathan, Swathi, Mitchell Bullough, Qifei Li, Anhong Zhou, and Yue Cui. "Non-lithographic patterning of phage-displayed peptides with wrinkled elastomers." Journal of The Royal Society Interface 11, no. 91 (February 6, 2014): 20130893. http://dx.doi.org/10.1098/rsif.2013.0893.

Full text
Abstract:
The development of controlled patterning of phage (viruses) could expand opportunities for both fundamental studies and creating various materials platforms. Inducing the elastomeric instability of PDMS film provides a non-lithographic, tuneable, controlled method for generating micro/nanoscale wrinkle patterns. Phage display has emerged as a powerful method for selecting peptides that possess enhanced selectivity and binding affinity toward a variety of targets. In this report, we demonstrate the non-lithographic patterning of phage-displayed peptides with wrinkled elastomers. Our results show that the phage-displayed peptides can be patterned on specific locations in controlled and tuneable ways, be transferred to other substrates and induce the self-assembly of hybrid materials. We anticipate that these results could open up exciting opportunities in fundamental studies and in applications ranging from sensors, hybrid materials, self-assembly, surface and interface, to micro/nanoelectronics.
APA, Harvard, Vancouver, ISO, and other styles
45

Akbar, Sheikh Ali. "(Invited) Ceramic Nano-Heterostructures By Materials Design: Platforms for Sensing Applications – Opportunities and Challengess." ECS Meeting Abstracts MA2022-01, no. 52 (July 7, 2022): 2141. http://dx.doi.org/10.1149/ma2022-01522141mtgabs.

Full text
Abstract:
This talk summarizes R&D efforts in the author’s laboratory on the fabrication of oxide nano-heterostructures, exploiting intrinsic material properties, that are highly scalable and do not require use of lithography. One such process creates crystallographically oriented nanofiber arrays of single crystal TiO2 in H2/N2 environment. H2/N2 heat treatment was also used to grow nanofibers on polycrystalline SnO2, showing directional growth on grains with crystal facets. We have also developed a process to create nanofibers of TiO2 on Ti metal/alloys via oxidation under a limited supply of oxygen. In another process, SnO2 nanowires grown from commercial FTO slides using the vapor-liquid-solid (VLS) method were placed in a microwave-assisted hydrothermal chamber where TiO2 nanorods nucleated radially from the SnO2 nanowire cores. We developed yet another interesting nano-structure (nanoislands and/or nanobars) during thermal annealing of an oxide (GDC) on top of another oxide (YSZ) substrate that self-assembles along the softest elastic direction of the substrate. What is common about these structures is that they are fabricated without the use of lithographic techniques and involves simple processes such as gas-phase reactions and stress-driven processes. These nano-heterostructures can be used as platforms for chemical sensing, catalysis, photocatalysis, photovoltaics and biomedical applications. Sensing application presents opportunities and challenges that are presented including an Open access Database Of Resistive type gas Sensors (ODORS) that has been developed and can be used to select suitable sensing materials.
APA, Harvard, Vancouver, ISO, and other styles
46

Schvartzman, M., A. Mathur, J. Hone, C. Jahnes, and S. J. Wind. "Plasma fluorination of carbon-based materials for imprint and molding lithographic applications." Applied Physics Letters 93, no. 15 (October 13, 2008): 153105. http://dx.doi.org/10.1063/1.2944997.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Ferrarese Lupi, F., T. J. Giammaria, F. G. Volpe, F. Lotto, G. Seguini, B. Pivac, M. Laus, and M. Perego. "High Aspect Ratio PS-b-PMMA Block Copolymer Masks for Lithographic Applications." ACS Applied Materials & Interfaces 6, no. 23 (November 20, 2014): 21389–96. http://dx.doi.org/10.1021/am506391n.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Cushen, Julia D., Issei Otsuka, Christopher M. Bates, Sami Halila, Sébastien Fort, Cyrille Rochas, Jeffrey A. Easley, et al. "Oligosaccharide/Silicon-Containing Block Copolymers with 5 nm Features for Lithographic Applications." ACS Nano 6, no. 4 (April 12, 2012): 3424–33. http://dx.doi.org/10.1021/nn300459r.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Flagello, Donis, and Andrew Pomerene. "A Single Expose Double Develop (SEDD) process for self-aligned lithographic applications." Microelectronic Engineering 9, no. 1-4 (May 1989): 47–52. http://dx.doi.org/10.1016/0167-9317(89)90011-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Matsukawa, Daisaku, Tadamitsu Nakamura, Tetsuya Enomoto, Noriyuki Yamazaki, Masayuki Ohe, Takeharu Motobe, and Masato Nishimura. "Low Temperature Curable PI/PBO for Advanced Packaging." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2017, DPC (January 1, 2017): 1–15. http://dx.doi.org/10.4071/2017dpc-poster_matsukawa.

Full text
Abstract:
Photo-definable polyimides (PI) and polybenzoxazoles (PBO) have been widely used as dielectrics for re-distribution layers in wafer level chip size packages (WL-CSP). These materials can simplify the manufacturing process and ensure high reliability owing to their good mechanical properties and high thermal stability. For next generation electronic components fabricated by utilizing advanced packaging technologies such as 3D-stacking using TSV, package-on-package, fan-out WL-CSP etc., the most important requirements for dielectric materials are high lithographic performance, high adhesion to Cu RDL, high chemical resistance and low temperature curability. In this paper, we will report on our novel low temperature (<200C) curable PBO and PI. A novel alkaline positive tone PBO was developed by re-designing key components of the formulation to enhance lithographic performance, Cu adhesion and chemical resistance. It was found that the new PBO material showed higher lithographic performance than conventional PBOs due to its high dissolution contrast and which resulted in a resolution of 2micron (L/S) with a 7μm cured thickness and 3micron (L/S) with a 15micron cured thickness, respectively. This material also produced strong Cu adhesion and high chemical resistance at curing temperatures <200C with no delamination from the Cu RDL being observed after a 168hr Pressure Cooker Test (PCT). Furthermore, the new formulation showed high TCT resistance due to its high elongation below 0C. In addition, a novel solvent negative tone PI was also developed by incorporating a cross-linker to accelerate low temperature curability as well a photo-initiator to improve lithographic properties. As a result, the novel PI when cured at 175C for 1hr showed high Cu adhesion after 168hr PCT as well as high film properties. The new PI also showed excellent lithographic properties with a resolution of 6micron (L/S). Furthermore, the low temperature curable PI and PBO materials were used as dielectrics to fabricate WL-CSPs for both chip and board level reliability testing. The test results indicated that both the novel PBO and PI showed excellent reliability after thermal cycling (TCT) due to the significant improvements made to Cu adhesion and chemical resistance. These materials are expected to be promising for next generation WLP applications. Details are described in the presentation.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography