Dissertations / Theses on the topic 'Lithographic applications'

To see the other types of publications on this topic, follow the link: Lithographic applications.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Lithographic applications.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Hadley, Philip. "Aqueous photopolymers for lithographic applications." Thesis, Lancaster University, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.308991.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ceresoli, M. "SYMMETRIC BLOCK COPOLYMERS TEMPLATES FOR NANO-LITHOGRAPHIC APPLICATIONS." Doctoral thesis, Università degli Studi di Milano, 2016. http://hdl.handle.net/2434/422644.

Full text
Abstract:
Nanofabrication has been long characterized by a top-down approach for the definition of small features starting from large pieces of material. In this contest the process of size scaling in microelectronics devices is based on photolithography that is an advanced top-down technology. In order to design integrated circuits with small features with characteristic dimension below 20 nm, a new kind of approach is needed such as the bottom-up one of self-assembly systems. Indeed symmetric block copolymers are able to spontaneously phase separate into ordered nanoscale lamellar pattern, which can be fruitfully implemented into the fabrication of next generation of microelectronics devices. This thesis offers a systematic study of the thermodynamics and kinetics of the self-assembly process of lamellae forming block copolymers in view of their possible exploitation as lithographic materials.
APA, Harvard, Vancouver, ISO, and other styles
3

Eravuchira, Pinkie Jacob. "Lithographic Micro- and Nanostructuring of SU-8 for Biotechnological Applications." Doctoral thesis, Universitat Rovira i Virgili, 2015. http://hdl.handle.net/10803/292245.

Full text
Abstract:
En aquesta tesi doctoral s’ha dut a terme recerca en mètodes de fabricació d’estructures micromètriques i nanomètriques de SU-8. La recerca ha partit de la base d’una anàlisi dels treballs anteriors en estructuració de SU-8 i ha tingut com a principal objectiu el d’obtenir noves estructures per a aplicació en biotecnologia. Un dels resultats més importants de la recerca ha estat la proposta d’una tècnica híbrida que combina fotolitografia i litografia per pressió per obtenir superfícies de SU-8 amb estructura jerarquitzada. Les investigacions també han portat a proposar un mecanisme de sensat basat en la fotoluminescència del SU-8. Els experiments demostren que la fotoluminescència es redueix a cada pas de modificació de la química de superfície. Aquesta característica es produeix de forma repetible també quan s’adhereix un antigen (IgG) a una superfície de SU-8 modificada amb l’anticòs corresponent (aIgG). Gràcies a aquest efecte, s’ha proposat un inmunosensor basat en la reducció de fotoluminescència i se n’ha avaluat la seva sensibilitat. El resultat més rellevant demostra que estructures jeràrquicament organitzades mostren una reducció de fotoluminescència major i per tant una millor sensibilitat.
En esta tesis doctoral se ha llevado a cabo investigación sobre métodos de fabricación de estructuras micrométricas y nanométricas de SU-8. La investigación ha partido de la base de un análisis de los trabajos anteriores en estructuración de SU-8 y ha tenido como principal objetivo el de obtener nuevas estructuras para la aplicación en biotecnología. Uno de los resultados más relevantes de la investigación ha sido la propuesta de una técnica híbrida que combina fotolitografía con litografía por presión para obtener superficies de SU-8 con una estructura jerarquizada. Las investigaciones también han llevado a proponer un mecanismo de sentado basado en la fotoluminiscencia del SU-8. Los experimentos demuestran que la fotoluminiscencia se reduce a cada paso de modificación de la química de superficie. Esta característica se produce de forma repetible también cuando se adhiere un antigen (IgG) a una superficie de SU-8 modificada con el anticuerpo correspondiente (aIgG). Gracia a este efecto se ha propuesto un inmunosensor basado en la reducción de fotoluminiscencia i se ha evaluado su sensibilidad. El resultado más relevante demuestra que las estructuras jerárquicamente organizadas muestran una reducción de fotoluminiscencia mayor, y por tanto una mejor sensibilidad
n this Ph. D. Dissertation research on lithographic methods for the fabrication of micrometric and nanometric SU-8 structures has been carried out. The research has been based on a survey of existing techniques to structure the SU-8 with the main objective of obtaining novel structures for biotechnology applications. One of the main results of the research has been the proposal of an hybrid technique that combines photolithography and soft lithography to obtain hierarchically structured SU-8 surfaces. The investigations have also led to the proposal of a sensing mechanism based on the photoluminescence of SU-8. The experiments show that photoluminescence is reduced with every step of surface chemistry modification. This is a repeatable feature that is observed also upon attachment of an antigen (IgG) onto a SU-8 surface grafted with antibody (aIgG). Thanks to this effect, an immunosensor based on the reduction of photoluminescence has been proposed and its sensitivity has been evaluated. The results show that the hierarchically patterned structures offer a higher photoluminescence reduction and thus a better sensitivity.
APA, Harvard, Vancouver, ISO, and other styles
4

Liang, Jianyu. "Non-lithographic fabrication of superlattices for nanometric electro-magnetic-optic applications /." View online version; access limited to Brown University users, 2005. http://wwwlib.umi.com/dissertations/fullcit/3174638.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Murphy, Julian James. "Lithographic characterisation of a selection of polymeric resists for microlithographic applications." Thesis, University of Kent, 1997. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.244327.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Gotrik, Kevin Willy. "Flow controlled solvent vapor annealing of block copolymers for lithographic applications." Thesis, Massachusetts Institute of Technology, 2013. http://hdl.handle.net/1721.1/81057.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2013.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 185-192).
Self-assembly of block copolymer thin-films may provide an inexpensive alternative to patterning lithographic features below the resolution limits of traditional optical methods. Block copolymers (BCPs) are polymers made of two or more distinct monomer/block units that are covalently bonded. Due to their differences in surface energy, the different blocks tend to phase segregate like oil and water; but because of the covalent linkage, this segregation is practically limited to size scales ranging from only a few nm to ~ 100 nm. A thin film of a BCP can be used in much the same way as a photoresist in the lithographic process, whereas a desired pattern morphology can be obtained by etching one block away and leaving behind a self-assembled hard mask for the underlying substrate. After a thin film of BCP is coated onto a given substrate, the BCP must be given an annealing step, where the disordered entangled polymer networks can be allowed to diffuse and equilibrate into lower free energy configurations which result in periodic patterns of micelles with different morphologies such as spheres, in/out of plane cylinders, etc. This work explored the technique of solvent vapor annealing, where organic solvents were allowed to interact with BCP thin films to facilitate annealing and act as surrogates for the different BCP polymer blocks. This allowed for a wide range of control over the BCP self-assembly (morphology, periodicity, etc.) for a given molecular weight BCP. Additionally, by adding heat at critical times during the self-assembly, time scales for solvent vapor enhanced self-assembly could be reduced from hours to seconds making the prospects for this technology to become industrially applicable more promising.
by Kevin Willy Gotrik.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
7

Alnaimi, Radhwan. "Development of a low-debris laser driven soft X-ray source for lithographic applications." Thesis, Imperial College London, 2016. http://hdl.handle.net/10044/1/61658.

Full text
Abstract:
This work comprehensively describes the design, build and characterisation of a low-debris laser driven soft x-ray source for a variety of applications in particular lithography, in combination with the optimized multilayer structures in order to use the source output as efficiently as possible. The aim of this work was to study the debris emission from different target materials and to minimise or eliminate debris from laser irradiated thin tapes used in multi-shot and long run-time applications. VHS video tape is used as the primary test target in this work and is made of a Mylar (C10H8O4) carrier film coated with a fine magnetic powder of both Fe2O3 and chromium dioxide CrO2 together with a backing layer of carbon black for static control and a binding agent (polyester-polyurethane). VHS video tape is inexpensive, readily available and amenable for use in multi-hour experiments at high repetition rate. The x-ray source described here is built around a 1064 nm Nd:YAG laser, frequency doubled to 532 nm (green) or tripled to 355 nm, with a pulse length of ~800 ps and a repetition rate up to 50 Hz. A versatile cubic target chamber was designed to accommodate the source and a set of computer controlled stage motors are used to allow positioning of the x-ray emission point. A glass plate between the focusing lens and the target prevents the lens from being coated with debris and the use of a low pressure N2 buffer gas (2-6 mbar) was explored as collisions of atomic size debris particles with gas molecules reduces their kinetic energy and consequently their adhesion to the surrounding surfaces. The chamber can also be continually pumped close to the laser-tape interaction point to ensure continuous removal of debris particles. In the VHS video tape target, the source emitted a range of x-ray wavelengths between 0.19 and 2.3nm relevant to kα line emission of both Fe (7.1 keV) and O (0.5 keV) resolved using the mica crystal spectroscopy. In copper target, a 0.13nm wavelength of k edge (8.9 keV) was resolved, and a 0.79nm x-ray light of Al k edge (1.5 keV) was obtained in aluminium tape target. The measured flux of x-ray photons under vacuum was (7.3 × 109 Photons/s) at 100mJ laser energy and the calculated efficiency of the system (laser energy in versus x-rays out) was (1.1 × 10-6). C/Cr multilayer mirrors of variable layer numbers (N= 30- 200) were designed using the IMD software. A magnetron sputtering technique was used to fabricate the multilayers which were then probed using a hard x-ray diffraction method (Cu Kα radiation, λ = 0.154 nm) to characterize their reflectivity, bi-layers structure and surface roughness. Atomic force microscope was used to determine the surface topography and to analyze the surface structure imperfections such as roughness and stress induced damage. The design developed and refined over the course of this work has been shown to be better at reducing target debris than other mitigation strategies described in the literature. A reduction of "large" and potentially damaging particles ranging from 140 to 5 microns in size by a factor of 28 was achieved, and a 10% reduction in the flux of small particles (~5 microns) was observed if only a buffer gas was used. A reduction of 50% in the flux of these smaller particles was seen if both buffer gas and pumping strategies were employed. This should provide longer life time for the delicate optics used in close proximity to a long run time laser plasma x-ray source, and at the same time reduces the cost of running such sources for a range of different applications.
APA, Harvard, Vancouver, ISO, and other styles
8

ANDREOZZI, ANDREA. "Fabrication of nanostructured materials using block copolymer based lithography." Doctoral thesis, Università degli Studi di Milano-Bicocca, 2012. http://hdl.handle.net/10281/28333.

Full text
Abstract:
The main objective of the PhD research activity carried out at MDM Laboratory was the growth and manipulation of nano-objects to be used as building blocks for the fabrication of new generation of nano-transistors, nano-memories and nano-emitters. The first part of the research activity was related to the development of reproducible and controlled protocols for the fabrication of polymeric soft masks for advanced lithographic applications using block copolymers. To this purpose hexagonally packed nanoporous polymeric thin films were fabricated using PS-b-PMMA block copolymers and accurately characterized. Special care was used to the functionalization of the sample surface prior to block copolymer thin film deposition. The effect of the self assembled monolayer of random copolymers conventionally used for surface neutralization was deeply investigated. In particular it was observed that different random copolymer thin films influence the window of thicknesses in which perpendicular orientation of the PMMA domains with respect to the underlying substrate occurs, as well as the characteristic dimensions of the final nanoporous polymeric mask. The possibility of combining “bottom up” self assembly of block copolymers with “top down” patterned templates was then explored to register the periodic domains of the self assembled block copolymer film with the underlying topographic structure. E-beam lithography was used to fabricate trenches in the SiO2 substrate before the deposition of the block copolymer thin films. The nanoporous polymeric mask fabricated during the first part of the research activity was then used as soft mask for patterning the underlying substrate in order to create nanoporous SiO2 hard masks as well as for the fabrication of ordered arrays of Silicon nanodots. The hexagonally packed nanopores of the polymeric mask were transferred to the underlying SiO2 by reactive ion etching. The effects of the etching parameters on the final characteristics of the nanoporous oxide were deeply investigated. The nanoporous SiO2 template was then used as a backbone for the fabrication of tunable nanoporous Al2O3 substrates by atomic layer deposition growth of thin films of Al2O3 on the SiO2 template. Progressive reduction of the pore size down to complete pore filling was obtained by properly adjusting the thickness of the Al2O3 film. This activity demonstrated the feasibility of fabricating periodic nanostructures surfaces with tunable dimensions well below the 20 nm limit. Moreover, since a large variety of oxide materials can be grown by atomic layer deposition, the proposed methodology provided a general approach for the synthesis of nanoporous oxide with accurate control of pore dimension, size distribution and pore frequency. Ordered arrays of Si nanocrystals were fabricated using the nanoporous polymeric film as a lithographic mask to control the formation of the nanodots. Two different approaches were pursued leading to different configurations where nanodots are embedded/deposited in/on the dielectric matrix. The first approach was based on ion beam synthesis and consisted in the implantation of Si ions into the nanostructured polymeric film to locally introduce the desired ion supersaturation in a limited nanosized area. After removal of the polymeric film, a thermal annealing led to the formation of nanocrystals at a depth depending on the ion energy. The second approach was the lift-off process that included material deposition by e-beam evaporation onto the nano-structured polymeric film and on the exposed substrate regions followed by the subsequent removal of the polymeric template and material excess by wet or dry etching. These arrays of semiconducting nanodots are suitable for the fabrication of Si nanocrystals non volatile memories or Si nanocrystals nanoemitters.
APA, Harvard, Vancouver, ISO, and other styles
9

Wieberger, Florian [Verfasser], and Hans-Werner [Akademischer Betreuer] Schmidt. "Synthesis and Combinatorial Optimization of Novel Star-Shaped Resist Materials for Lithographic Applications / Florian Wieberger. Betreuer: Hans-Werner Schmidt." Bayreuth : Universität Bayreuth, 2012. http://d-nb.info/1059412489/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Tu, Fan [Verfasser], and Hubertus [Gutachter] Marbach. "On the Lithographic Fabrication of Fe and Co Nanostructures via Focused Electron/Photon Beam Induced Processing: Properties and Applications of the Structures / Fan Tu ; Gutachter: Hubertus Marbach." Erlangen : Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), 2017. http://d-nb.info/1150964308/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

HASSAN, MARIAM. "Perpendicularly magnetized synthetic antiferromagnets for flexible spintronic and biomedical applications." Doctoral thesis, Università Politecnica delle Marche, 2021. http://hdl.handle.net/11566/289757.

Full text
Abstract:
Antiferromagnetici sintetici (SAF) costituiti da due strati ferromagnetici separati da un sottile strato metallico non magnetico hanno recentemente suscitato un rinnovato interesse come potenziali candidati per una serie di applicazioni innovative e avanzate nell’ambito della spintronica e della biotecnologia. I SAF sono componenti chiave nei dispositivi spintronici e una significativa attenzione è stata recentemente prestata alla preparazione di tali sistemi su substrati flessibili in virtù dei significativi vantaggi che offrono rispetto a dispositivi fabbricati su substrati rigidi convenzionali, come la capacità di piegare e regolare la forma del substrato, un minor peso e costi contenuti. Sebbene il progresso e lo sviluppo di sistemi spintronici con anisotropia magnetica longitudinale su substrati non planari sia stato notevole nel corso degli ultimi anni, eterostrutture magneto-resistive flessibili con anisotropia magnetica perpendicolare (PMA) sono piuttosto inesplorate nonostante consentano funzionalità aggiuntive e prestazioni migliorate. D'altra parte, per applicazioni diagnostiche e terapeutiche, microdischi SAF con magnetizzazione perpendicolare preparati con approcci litografici top-down sono stati recentemente proposti come valida alternativa alle più studiate particelle superparamagnetiche sintetizzate per via chimica in quanto soddisfano tutti i criteri chiave richiesti per applicazioni biomedicali, consentendo al contempo un significativo grado di controllo e modulazione delle proprietà magnetiche. In questo contesto, la tesi si propone di sviluppare e studiare dispositivi magneto-resistivi su substrati flessibili e microdischi per applicazioni biomedicali basati su SAF a film sottile con PMA. L'attenzione si è concentrata su sistemi basati su Co/Pd e Co/Ni in virtù dell’elevata anisotropia magnetica (~106 J/m3) e della possibilità di regolare in maniera fine le proprietà magnetiche variando lo spessore dei singoli strati e il numero di ripetizioni N del doppio strato di Co/Pd(Ni). In particolare, multistrato flessibili con struttura spin-valve e magnetoresistenza gigante, costituiti da un free layer di [Co/Pd(Ni)]N e da un reference layer SAF con struttura [Co/Pd(Ni)]N/Ru/[Co/Pd(Ni)]N separati da uno strato di Cu, sono stati preparati sia mediante deposizione diretta su substrati flessibili che attraverso l’utilizzo di strategie transfer-and-bonding di tipo wet e dry. Sono state inoltre eseguite misurazioni in condizioni di flessione per indagare la robustezza delle spin-valve flessibili e la possibilità della loro integrazione su superfici curve. Film SAF ottimizzati sono stati infine impiegati per la preparazione di multistrato a film sottile costituiti da ripetizioni multiple di singole unità SAF con struttura [Co/Pd]N/Ru/[Co/Pd]N e anisotropia magnetica perpendicolare allo scopo di fabbricare microdischi SAF free-standing mediante processi litografici.
Although discovered about three decades ago, the peculiar properties of synthetic antiferromagnetic (SAF) thin films consisting of two ferromagnetic layers separated by a non-magnetic metal spacer have recently revived a renewed interest as potential candidates for a number of innovative and advanced applications including spintronics and biotechnology. SAFs are key component in spintronic devices and a significant attention has been recently paid on the preparation of such devises on flexible substrates, which provide wide advantages over their conventional rigid-substrate counterparts, such as the ability to bend and adjust the shape of a device, a light-weight and low costs. While the progress and development of systems with longitudinal magnetic anisotropy on non-planar substrates has been remarkable over the last few years, flexible magneto-resistive heterostructures with perpendicular magnetic anisotropy (PMA) are rather unexplored despite they allow for additional functionality and improved performance. On the other hand, for diagnostic and therapeutic applications, perpendicular magnetized SAF microdisks prepared by top-down lithographic approaches have been recently proposed as a valid alternative to the most investigated superparamagnetic particles synthetized by chemical routes as they fulfill all the key criteria required for biomedical applications while allowing a significant degree of control and tunability of the magnetic properties. Within this context, this thesis aims at developing and studying magneto-resistive spintronic devices on flexible substrates and microdiscs for biomedical applications based on SAF thin film stacks with PMA. The focus was on Co/Pd- and Co/Ni-based systems due to their strong PMA (~106 J/m3) and the possibility to finely tune their magnetic properties by varying the thickness of the individual layers and the number of repetitions N of the Co/Pd(Ni) bilayer. In particular, flexible Co/Pd(Ni)-based giant magnetoresistance spin-valve thin film stacks consisting of a [Co/Pd(Ni)]N free layer and a fully compensated [Co/Pd(Ni)]N/Ru/[Co/Pd(Ni)]N synthetic antiferromagnet reference electrode separated by a Cu spacer, were prepared by direct deposition on flexible substrates and by exploiting both wet and dry-etching transfer-and-bonding approaches. Measurements under bending conditions were also performed to investigate the robustness of the flexible spin-valves and the possibility for their integration on curved surfaces. The optimized SAF stacks were also used for the preparation of thin fil stacks consisting of multiple repeats of single [Co/Pd]N/Ru/[Co/Pd]N SAF units with perpendicular magnetic anisotropy with the aim to fabricate free-standing SAF microdisks by using lithographic processes.
APA, Harvard, Vancouver, ISO, and other styles
12

Bouanani, Shayma. "Vers l'industrialisation de l'auto-assemblage dirigé des copolymères à blocs : développement de procédés de lithographie compatibles avec les noeuds technologiques sub-10 nm pour des applications de type contacts." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT053/document.

Full text
Abstract:
La course à la compétitivité que se disputent les industriels du semi-conducteur implique d’augmenter le nombre de fonctionnalités par puce ainsi que de réduire leur coût unitaire, ce qui se traduit par une diminution continue de leur taille. Pour ce faire, le DSA (Directed Self-Assembly), ou auto-assemblage dirigé des copolymères à blocs associe les techniques de lithographie conventionnelle avec les propriétés d’organisation à l’échelle moléculaire des copolymères. Dans ce cadre, l’objectif global de cette thèse est d’évaluer le potentiel d’industrialisation du DSA par grapho-épitaxie pour des applications de type « shrink » et « multiplication » de contacts. Il s’agit en particulier de démontrer la capacité de cette technique à répondre au cahier des charges de l’ITRS en termes d’uniformité de CD, de désalignement et de taux de défauts. Une première étude concernant le « shrink de contact », basée sur l’impact des propriétés matériaux, d’affinité de surface et de tailles de guides permet de comprendre les mécanismes qui rentrent en jeu dans l’apparition de défauts d’assemblage. Une seconde partie de l’étude porte sur la multiplication de contact. Pour adresser cette application, deux types de guides ont été étudié : les guides elliptiques et les guides complexes dits « peanut ». L’étude de la fenêtre de procédé en termes de paramètres procédé comme le temps et la température de recuit, mais aussi de commensurabilité, a été menée. Une attention particulière a été portée sur l’impact de la variation du guide sur le pitch final obtenu en DSA, dont les données expérimentales ont été corrélées avec des résultats de simulation. Les critères de réussite sont basés sur les performances lithographiques qu’il faut juger à travers une métrologie de pointe. Le développement d’une métrologie spécifique pour mesurer l’erreur de placement des contacts ainsi que leur pitch a été conduite
The competitiveness-chasing in which industrial manufactures are involved, leads to an exponential increase in the number of functionalities per chips, as well as reducing their unit cost, which results in a continuous decrease of their size. To achieve this, DSA (Directed Self-Assembly) of block copolymers, combines conventional lithography techniques with the molecular-scale organizational properties of copolymers. In this framework, the overall objective of this thesis is to evaluate the industrialization potential of the DSA process by graphoepitaxy for contact hole shrink and contact multiplication applications. In particular, it is necessary to demonstrate the ability of this technique to meet the ITRS specifications in terms of CD uniformity, misalignment and hole open yield. A first study on contact shrink, based on the impact of material properties, surface affinity and guiding feature size, allows us to understand the mechanisms involved in the appearance of defects. A second part of the study deals with contact multiplication. To address this application, two types of guides have been studied: elliptical guiding patterns and more complex ones called "peanut". The study of the process window in terms of process parameters such as annealing time and temperature, but also commensurability was conducted. Particular attention was paid to guide size variation and its impact on DSA final pitch. Experimental data from this study were correlated with simulations. The success criteria are based on the lithographic performances that must be judged through advanced metrology. The development of a specific metrology to measure the placement error of contacts as well as their pitch was conducted
APA, Harvard, Vancouver, ISO, and other styles
13

Alleaume, Clovis. "Etude de la modification de la source dans l'utilisation de la méthode de co-optimisation source masque en lithographie optique : mise en oeuvre et applications." Thesis, Saint-Etienne, 2014. http://www.theses.fr/2014STET4007/document.

Full text
Abstract:
Réalisée entre décembre 2009 et décembre 2012 au sein de STMicroelectronics Crolles dans l’équipe RET (résolution enhancement techniques), et en partenariat avec le laboratoire Hubert Curien Saint Etienne de l’université de Lyon, cette thèse s’intitule "Impact de la modification de la source dans l’utilisation de la méthode de cooptimisation masque source en lithographie optique, et application au nœud technologique 20 nm". Durant cette étude, nous avons pu étudier la technique d’optimisation de la source optique en lithographie, appelée généralement SMO afin de l’appliquer aux problématiques de l’industrie. Une première partie du manuscrit traitant de la lithographie optique permettra de mieux comprendre les problématiques liées à cette étude, en présentant les techniques utilisées. En effet, afin de permettre à la lithographie optique de continuer la miniaturisation des composants de microélectronique, il est nécessaire d’optimiser au maximum de nombreux éléments de la lithographie. La forme de la source optique utilisée n’échappe pas à cette règle et l’utilisation de sources étendues, hors axe et plus ou moins complexe permet aujourd’hui la production des technologies de pointes. Une seconde partie s’attardera plus sur l’optimisation de la source à proprement parler. Dans un premier temps, la théorie de la diffraction sera étudiée afin de permettre une meilleure compréhension du problème. Des simulations et des mesures SEM ou microscope électronique à balayage seront présentées pour montrer l’efficacité de la méthode SMO, de l’anglais "Source Mask Optimization". Cette étude donnant lieu au développement de nouvelles méthodes rapides et innovantes d’optimisation de la source, l’étude prendra soin de présenter des résultats obtenus dans le cadre de cette thèse. Ainsi, la méthode de SMO interne basée sur le phénomène de diffraction et créée durant cette thèse sera présentée dans cette étude et les résultats en découlant seront étudiés. L’application de l’optimisation de la source à des problématiques industrielles sera également présentée à travers différentes applications des solutions proposées. Finalement, un legs de connaissance nécessaire sera effectué par la présentation des différents outils développés durant cette thèse. Une troisième partie concernera l’étude de l’outil Flexray permettant la génération des sources optimisées. La thèse ayant donné lieu à une nouvelle technique de décomposition de la source en polynôme de Zernike, cette techniques sera présentée ici. Elle sera ensuite utilisée pour modéliser la dégradation d’une source, ainsi que pour corréler la différence de source avec la divergence du modèle empirique de simulation. L’étude des sources a été mise en place suivant un aspect industrielle, afin de contrôler l’évolution du scanner de façon rapide. De plus, des simulations peuvent être utilisées pour compléter cette étude. Finalement, une dernière partie traitera de la cooptimisation entre la source et différents éléments tels que le masque et la forme final du motif souhaité. En effet, si la forme initiale du motif souhaité joue un rôle important dans la définition de la source, il est possible de modifier cette dernière, ainsi que la forme du masque en lui appliquant un OPC afin d’obtenir de meilleurs résultats. Ces modifications seront étudiées durant le dernier chapitre
Conducted between December 2009 and December 2012 within the RET (resolution enhancement technology) team at STMicroelectronics Crolles and in partnership with Saint-Etienne laboratory Hubert Curien of the University of Lyon, this thesis entitled "Impact of changing the source while using the source mask optimization technique within optical lithography, and application to 20 nm technology node. ". In this thesis, Alleaume Clovis studied the optimization of the source used in optical lithography, technique usually called SMO (for source mask optimization) and applied the technique to the industry through several problems. The first part of the manuscript describe the optical lithography generalities, in order to allow a better understanding of the issues and the techniques used in this study. Indeed, to allow optical lithography to continue the miniaturization of microelectronic components, it is necessary to optimize many aspects of the lithography. The shape of the light source used is no exception to this rule and the use of extended sources, off-axis and more or less complex now enables the production of advanced technologies. The second part will then focus on the source modification and optimization. In a first step, the diffraction theory will be examined to demonstrate the theoretical interest of the thesis, and to allow a better understanding of the problem. Simulations and SEM measurements will be presented to show the effectiveness of SMO method. As this study gave birth to several innovative source optimization techniques, they will be presented. Thus, the method of internal SMO based on the phenomenon of diffraction and created during this thesis will be presented and the results would be studied. The application of the source optimization to industrial problems will also be presented through different applications. Finally, a legacy of knowledge will be done by presenting the different tools developed during this thesis. A third part will deal with the study of tool which generate the source inside the scanner allowing the use of optimized and complex sources. The thesis has given rise to a new source decomposition technique using Zernike polynomial. It will be used in this study to model the degradation of a source, and for correlating the impact of a source modification due to SMO technique on the empirical model stability. The study of sources has been implemented according to industrial aspect to monitor the scanner with a quick method. In addition to the Zernike decomposition method, simulations can be used to complete this study. The forth chapter of this study will talk about this implementation. Finally, the last part of the study will talk about the co-optimization of the source with several elements, such as the mask OPC and the final shape of the desired pattern. Indeed, if the initial shape of the desired pattern plays an important role in defining the source, it is possible to modify the latter design shape, as well as the shape of the mask in order to optimize both the source and the target shape. These changes will be discussed in the last chapter
APA, Harvard, Vancouver, ISO, and other styles
14

Bazin, Damien. "Structuration de surfaces organiques et inorganiques par lithographie électro-colloïdale : principe et applications." Thesis, Bordeaux 1, 2012. http://www.theses.fr/2012BOR14684/document.

Full text
Abstract:
De nombreuses techniques de lithographie sont proposées aujourd'hui pour structurer des surfaces à l'échelle micrométrique et nanométrique. Parmi elles, la lithographie colloïdale est intéressante en raison notamment du faible coût du procédé. Dans cette thèse, nous avons développé une nouvelle technique appelée « lithographie électro-colloïdale » qui est basée sur l'utilisation de particules colloïdales soumises à des champs électriques continus et alternatifs. Avec des temps de préparation courts et une instrumentation peu coûteuse, des surfaces structurées polymériques et métalliques ont été produites puis testées pour différentes applications (immobilisation de protéines, réseaux de microélectrodes, surfaces superhydrophobes)
Many lithography techniques have been developed to structure surfaces at the micrometer and sub-micrometer ranges. Among them, colloidal lithography is interesting because the process is inexpensive and does not require the use complex instruments. In this thesis, we have developed a new technique called « electro-colloidal lithography » which is based on the use of colloidal particles organized using alternating and direct electric fields. With short preparation times and inexpensive instruments, polymeric and metallic structured surfaces have been prepared and tested for different applications (protein immobilization, microelectrode arrays, superhydrophobic surfaces)
APA, Harvard, Vancouver, ISO, and other styles
15

Farhoud, Maya S. (Maya Sami). "Interferometric lithography and selected applications." Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/10457.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Zheng, Zijian. "Soft lithography and nanoimprint lithography for applications in polymer electronics." Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613415.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

MALAQUIN, Laurent. "Dispositifs ultra-sensibles pour le nano-adressage electrique. Application a la detection de biomolecules." Phd thesis, Université Paul Sabatier - Toulouse III, 2004. http://tel.archives-ouvertes.fr/tel-00009243.

Full text
Abstract:
" Because technology provides the tools and biology the problems, the two should enjoy a happy marriage ! "1 . Cette phrase resume parfaitement l'esprit du projet qui a motive ces travaux de these. En effet, le couplage des biotechnologies et des micro et nano technologies, resume sous le vocable < Nanobiotechnologies > est une activite en plein essor qui laisse presager de nombreuses applications en particulier dans le domaine de la biodetection. Lobjectif principal de ces travaux est dedie au developpement de strategies d'adressage de biomolecules a l'echelle nanometrique pour des applications de biodetection. Le premier aspect de ce travail est d'ordre technologique. Il concerne la fabrication de dispositifs d'adressage bases sur des reseaux de nanoelectrodes planaires. En utilisant un procede reposant sur lutilisation de la lithographie electronique haute resolution sur un microscope TEM/STEM, nous avons pu demontrer la fabrication de dispositifs a base de nanoelectrodes presentant des espaces inter-electrodes controlables entre 100 et 15nm. Une technique de lithographie alternative, la Nano-Impression est egalement presentee comme une solution possible a la replication de nanodispositifs fabriques par lithographie electronique. La deuxieme partie des travaux est dediee a la mise en place dun schema de detection de nanoparticules que nous avons developpe autour de dispositifs bases sur des reseaux delectrodes inter-digitees. Avant de nous interesser a l'utilisation de ces dispositifs pour une application biologique, nous avons etudie leur reponse electrique vis-a-vis de l'absorption de nanoparticules d'Or par interaction electrostatique. Les premiers resultats obtenus montrent que le schema de detection permet d'atteindre un niveau de sensibilite ultime au travers d'une mesure directe de la conductance des dispositifs. Certaines experiences montrent en effet la possibilite de mesurer electriquement l'adsorption d'une seule nanoparticule. Enfin, la derniere partie de ces travaux est dediee a l'adaptation de ce protocole pour la detection de biomolecules fonctionnalisees par des nanoparticules d'Or. Pour cela, nous avons employe une approche simple basee sur un systeme de reconnaissance entre une molecule cible et une molecule sonde. Ce schema a ete applique a la detection d'interaction antigene/anticorps et nous a permis de transcrire la selectivite de la reconnaissance entre les anticorps dans le depot des nanoparticules qui se traduit par une modification importante de la conductance du dispositif. Les possibilites d'integration ainsi que la compatibilite des dispositifs avec des systemes de microfluidique rendent ce schema de detection particulierement adapte pour le developpement d'un systeme integre de biodetection a tres haute sensibilite. 1 S. Fields, Proc. Natl. Acad. Sci. USA, vol 98, pp 10051-10054 (2001)
APA, Harvard, Vancouver, ISO, and other styles
18

Heard, P. J. "Applications of scanning ion beam lithography." Thesis, University of Cambridge, 1985. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.372653.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Paudel, Trilochan. "Nanosphere Lithography for Nano Optical Applications." Thesis, Boston College, 2011. http://hdl.handle.net/2345/3155.

Full text
Abstract:
Thesis advisor: Zhifeng Ren
Thesis advisor: Krzysztof Kempa
Many different techniques are available to create nanopatterns in nanoscale devices. However, a few are flexible and inexpensive enough to be practical in the nanotechnology. Here, we study the nanosphere lithography (NSL) based on a self-assembly of microspheres. Using this technique, we have developed various patterns in metallic films, ranging from honeycomb arrays of "quasi-triangles" to circular holes. These various patterns have been used subsequently either as nano-optical structures directly, with remarkable optical and plasmonic properties, or as substrates for further nano-processing. In one such nano-processing, the "quasi-triangle" patterns were used as a catalyst for carbon nanotube growth. The resulting aligned arrays of carbon nanotubes were employed in nanocoax solar cells. In another nano-processing, the arrays were used as masks for electrodeposition. In addition to the nano processing and measurements, we have employed the FDTD computer simulations, to develop a full understanding of the nano-optical and plasmonic properties of the developed structures
Thesis (PhD) — Boston College, 2011
Submitted to: Boston College. Graduate School of Arts and Sciences
Discipline: Physics
APA, Harvard, Vancouver, ISO, and other styles
20

Walsh, Michael E. (Michael Edward) 1975. "On the design of lithographic interferometers and their application." Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/28741.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2004.
Page 300 blank.
Includes bibliographical references.
Interference lithography is presented as an ideal technique for fabricating large-area periodic structures with sub-100nm dimensions. A variety of interferometer designs are discussed and implemented, each of which emphasizes a different attribute. Curvature of the substrate during exposures in a Mach-Zender interferometer is demonstrated as a method for reducing periodicity variations in the printed pattern down to the level of picometers. A robust Lloyd's-mirror interferometer is developed as a simple and flexible lithography system capable of sub-100nm features. Prospects and designs for the use of grating interferometers for the fabrication of structures with 50nm spatial-periodicity are discussed. A novel, integrated, thin-film interferometer exploiting solid immersion is introduced for the fabrication of features below the diffraction-limit. Using 193nm illumination, 45nm dense features are demonstrated. Aspects of sub-wavelength diffraction and thin-film resonance are discussed in relation to the fabrication of structures with sub-50nm periodicity. A selection of applications are discussed which take advantage of the unique capabilities of interference lithography, including patterned magnetic media, DFB lasers and the templated self-assembly of nano-particles. A novel technique for ion-beam etching, applicable to any material system, is shown to improve the patterning of nanoscale magnetic elements.
by Michael E. Walsh.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
21

Faik-Etienne, Hasnaa. "Étude de l'implantation ionique dans les miroirs multicouches Mo/Si : application aux optiques diffractives." Toulouse, INSA, 2005. http://www.theses.fr/2005ISAT0003.

Full text
Abstract:
Pour atteindre des tailles de motif de 30nm et moins, la lithographie EUV (Extrême Ultra Violet, l= 13,5 nm), devrait être introduite par les industriels à partir de 2009. Or pour les longueurs d'onde comprises entre 10 et 14nm, la plupart des matériaux ne sont ni transparents, ni réfléchissants. De ce fait, et afin de fabriquer des optiques, la seule manière de réfléchir le rayonnement EUV est d'utiliser des miroirs multicouches. Ces miroirs sont composés de plusieurs bi-couches Mo/Si d'épaisseurs optimisées pour additionner en phase le peu de réflectivité de chacune des interfaces. Ils permettent ainsi d'atteindre une réflectivité de 70% à 13. 5 nm en incidence quasi normale. Les optiques diffractives réalisées dans ce type de miroirs sont habituellement effectuées par gravure de l'empilement multicouche, ce qui nécessite des étapes technologiques longues et délicates. Un moyen original de réaliser ces optiques est d'implanter les zones que l'on veut rendre non réfléchissantes au lieu de les graver. Ainsi, il devient possible de combiner les propriétés des multicouches et celles des réseaux, tout en utilisant une spécificité de la multicouche qui est la réflexion de volume. Ce travail a pour but d'étudier différentes conditions d'implantation et leurs effets sur les propriétés optiques des miroirs multicouches. Ces effets sont mis en évidence en réalisant des réseaux de phase implantés, au travers d'un masque, dans la multicouche. L'emploi de l'implantation ionique au lieu de la gravure permettrait ainsi de réaliser des doubles structures diffractantes, chose impossible à réaliser au moyen de la gravure classique
In order to achieve a resolution of 30 nm and below, Extreme Ultra Violet lithography (EUVL, l=13,5 nm) should be introduced in industry by 2009. However, for such small wavelengths, between 10 and 14 nm, light is increasingly absorbed by practically any materials, and there is no reflective materials neither; thus, the only way to reflect the EUV beam is to use reflective multilayer mirors. These mirors are made by a periodic stack of Mo/Si bi-layers, with appropriated thicknesses, which enables to achieve 70 % of reflectivity at nearly normal incidence. Diffractive optics made in this kind of multilayer mirors are usually realized by etching of the multilayer stack, which requires long and difficult technological steps. An original way to realize these optics is to implant the areas that we would like to become non reflective, instead of etching them. Thus, this enables to combine multilayer properties and gratings properties, using a multilayer specificity which is volume reflexion. This work aims to study different implantation conditions and their effects on the optical properties of multilayer mirors. These effects are arised by the realization of implanted phase gratings, through a mask, in the multilayer. The use of ion implantation instead of etching would allow thus to realize double diffractive structures, which is impossible to do by etching
APA, Harvard, Vancouver, ISO, and other styles
22

Huber, Justin P. "UV-LITHOGRAPHIC PATTERNING OF MICRO-FEATURES ON A CONICAL MOLD INSERT." UKnowledge, 2010. http://uknowledge.uky.edu/gradschool_theses/26.

Full text
Abstract:
In past studies, several techniques have been employed to create microscopic features on relatively simple surfaces. Of these, lithography-based techniques have proven effective at manufacturing large fields of deterministic microasperities and microcavities on planar and cylindrical substrates. The present study focuses on adapting UV-lithography to a more complex substrate. Machined from stainless steel, a conical mold insert introduces an interesting geometry designed for the injection molding of radial lip seal elastomer. The distinct shape of this mold insert poises unique challenges to a conventional lithography procedure. Spray application is investigated as a feasible means to deposit layers of photoresist on the surface. An appropriate masking element is designed and created to facilitate transfer of a particular pattern via UV exposure. A clamping technique is implemented to align and secure the photomask. These techniques are incorporated into a three-day process, and results are obtained through optical microscopy and light interferometry. By applying Design of Experiments (DOE) and Analysis of Variance (ANOVA), significant process variables are indentified. Based on these findings, refinements to the process are enabled and future considerations are made evident.
APA, Harvard, Vancouver, ISO, and other styles
23

He, X. "Nanoimprint lithography for applications in photovoltaic devices." Thesis, University of Cambridge, 2010. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.603915.

Full text
Abstract:
This thesis describes efforts to achieve an idealized architecture and to characterize the transport in polymer-based PV devices, by employing novel nanoimprint techniques. First, a novel double-imprinting process is described, which allows the fabrication of ideally structured “polymer-polymer” and “polymer-small molecule” heterojunctions, with any composition. The dimensions of both phases can be independently tailored to match the respective exciton diffusion length in either phase PV devices with extremely high densities (up to 1014/mm2) of interpenetrating nanoscale columnar features, as small as 25 nm in the active polymer blend layer, were fabricated and showed considerable improvement over the traditional blend devices. It is believed that this work advances the state of the art in polymeric organic electronic devices. Second, a non-conventional nanopatterning technique has been developed and used to fabricate well-aligned vertical ZnO nanowire arrays. This demonstrates the potential for this approach to serve as a nanostructured metal oxide scaffold for “polymer-metal oxide” hybrid PVs, as well as other nanoscaled (opto)electronic devices, due to its attractive electromechanical properties.
APA, Harvard, Vancouver, ISO, and other styles
24

Martin, Luc. "Méthodes de corrections avancées des effets de proximité en lithographie électronique à écriture directe : Application aux technologies sub-32nm." Thesis, Lyon, INSA, 2011. http://www.theses.fr/2011ISAL0003.

Full text
Abstract:
Pour adresser les nœuds technologiques avancés dans le cadre de la lithographie électronique, une nouvelle stratégie de correction des effets de proximité a été imaginée pour prendre le relai de la technique standard de modulation de dose. Dans ces travaux de thèse, les effets de proximité ont été analysés sur les outils e-beam de dernière génération au sein du LETI. Les limites de la modulation de dose ont aussi été évaluées. Parallèlement, une approche plus fondamentale, basée sur la simulation, a permis de mieux comprendre l'impact des différentes étapes du procédé de lithographie sur les motifs réalisés. Une nouvelle stratégie de correction avancée, appelée exposition multiple, a ensuite été mise au point. Celle-ci fait intervenir des motifs spécifiques appelés eRIF (electron Resolution lmprovement Features) dont l'exposition, couplée à celle des motifs initiaux permet de mieux contrôler la répartition de la dose injectée dans la résine. On parle alors d'expositions multiples. Au cours de ces travaux le positionnement des eRIF, ainsi que leurs dimensions ont fait l'objet d'une étude approfondie. L'élaboration d'algorithmes d'optimisation et la réalisation d'expérimentations en salle blanche ont permis d'optimiser ces paramètres et de mettre en évidence les gains apportés par les eRIF. Par rapport à la modulation de dose, des améliorations significatives ont pu être démontrées sur de véritables circuits intégrés. Grâce à l'exposition multiple, la résolution ultime des outils de lithographie e-beam a été repoussée de 2 nœuds technologiques pour les niveaux les plus critiques d'un circuit. Les règles de dessin retenues pour réaliser les eRIF ont ensuite été intégrées dans des modèles de corrections. via le logiciel de préparation de données INSCALE d'ASELTA NANOGRAPHICS pour assurer une correction automatisée des circuits
In electron beam lithography, a new proximity affects correction strategy has been imagined to push the resolution capabilities beyond the limitations of the standard dose modulation. In this work, the proximity affects inherent to e-beam lithography have been studied on the newest e-beam tools available at LETI. First, the limits of the standard dose modulation correction have been evaluated. The influences of each step of the lithographic process have also been analyzed from a theoretical point a view. A simulation approach was built and used to determine the impact of each of these steps on the patterned features. Then, a new writing strategy has been fully developed. It involves sub resolution features known as eRIF (electron Resolution Improvement features) which provide a finer control of the dose profile into the resist. Since the eRIF are exposed a top the nominal features, this new writing strategy is called multiple pass exposure. In this work, the position, the dose and the design of the eRIF have been studied and optimized to get the best of this new strategy. To do so, experiments were led in a clean room environment, and minimization algorithms have been developed. It has been demonstrated that the eRIF provide a significant gain compared to the standard dose modulation. Improvements have been observed even on the most critical levels of the Integrated circuits. By using the multiple pass exposure with optimized eRIF, the resolution capabilities of the e-beam tool have been reduced by 2 technological nodes. The design rules that have been determined to use the eRIF the most efficient way were finally implemented in INSCALE, the new data preparation software developed by ASELTA NANOGRAPHICS. This way, multiple pass exposure can be used in an automated mode to correct full layouts
APA, Harvard, Vancouver, ISO, and other styles
25

Rognin, Etienne. "Caractérisation et applications des écoulements de polymères en films minces nanoimprimés." Thesis, Grenoble, 2013. http://www.theses.fr/2013GRENI037/document.

Full text
Abstract:
Cette thèse présente des résultats théoriques et expérimentaux portant sur des écoulements à l'échelle nanoscopique de polymères fondus. L'étude analytique et numérique de l'écoulement d'un film de polymère, préalablement nanostructuré par nanoimpression puis recuit au dessus de sa température de transition vitreuse, a permis de dégager différentes dynamiques de nivellement selon la topographie initiale du film. Certaines ont été appliquées à l'élaboration d'éléments optiques par recuit de nanostructures complexes. Une méthode de mesure de la viscosité Newtonienne et du temps terminal de relaxation d'un polymère déposé en film mince a également pu être développée. Enfin, un travail exploratoire portant sur un procédé de nanoimpression sans épaisseur résiduelle par démouillage est présenté. L'accent a porté sur le calcul précis de la pression de disjonction dans un milieu stratifié en utilisant la théorie moderne de Lifshitz basée sur les propriétés optiques des matériaux en interaction
This thesis presents a theoretical and experimental work on nanoscale flows of polymer melts. Different leveling dynamics emerge from the analytical and numerical study of the reflow of a polymer film that is first nanoimprinted and then annealed above its glass transition temperature, depending on the initial topography of the film. These concepts were applied to the manufacturing of optical devices from the reflow of complex nanostructures. A method to measure the Newtonian viscosity and the terminal relaxation time of a thin polymer film was also developed. Finally, an exploratory work on a residual-layer-free nanoimprint process based on dewetting is presented. Emphasis was put on the accurate computation of the disjoining pressure in stratified media with the modern Lifshitz theory based on the optical properties of the interacting materials
APA, Harvard, Vancouver, ISO, and other styles
26

Geving, Brad David. "Enhancement of stereolithography technology to support building around inserts." Thesis, Georgia Institute of Technology, 2000. http://hdl.handle.net/1853/16799.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Pavlova, Anastasia. "Préparation et études des propriétés des films magnétiques nanostructures pour des applications en dispositifs magnéto-acoustiques et spintroniques." Thesis, Ecole centrale de Lille, 2014. http://www.theses.fr/2014ECLI0010/document.

Full text
Abstract:
Aujourd'hui, les structures basées sur les matériaux ferromagnétiques sont largement utilisées pour différentes applications: mémoires magnéto-résistives à accès non séquentiel, capteurs magnétiques et également nouveaux composants électroniques et dipositifs spintroniques. La tendance générale de l'électronique moderne est une réduction de la dimension des éléments à l'échelle submicronique. Ainsi, les nanostructures magnétiques sont d'un grand intérêt et leurs méthodes de fabrication et propriétés sont étudiées activement.Le but principal de ce travail est la préparation et la recherche expérimentale et théorique des propriétés de nanostructures magnétiques pour applications aux composants magneto-résistifs et phononiques. La lithographie à sonde locale (SPL) et la lithographie par faisceau d’électrons (EBL) ont été utilisées pour la fabrication des nanostructures. De premiers pas ont également été réalisés en fabrication des cristaux phononiques sensibles au champ magnétique
Nowadays, structures based on ferromagnetic materials are largely used for different applications: random access magneto-resistive memories, magnetic sensors, and also new electronic components and spintronic devices. The general trend of modern electronic is the reduction of dimensions down to submicronic scales. Therefore, the magnetic nanostructures are of great interest and their methods of fabrication and properties largely studied.The main goal of this work is the preparation and experimental and theoretical research on properties of magnetic nanostructures for applications in magnetoresistive and photonic devices. The Scanning Probe Lithography (SPL) and Electron Beam Lithography (EBL) were used for the nanostructures fabrications. First steps were also achieved in fabrication of phononic cristals sensitive the magnetic field
APA, Harvard, Vancouver, ISO, and other styles
28

Knauer, Joachim Norbert. "Diffraction by nanostructures : applications to imaging and lithography." Thesis, University of Cambridge, 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.624945.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Young, Aaron Cody. "Optical applications of two-photon and microexplosion lithography /." Thesis, Connect to this title online; UW restricted, 2007. http://hdl.handle.net/1773/9780.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Yao, Peng. "Developing three-dimensional lithography and chemical lithography for applications on micro/nano photonics and electronics." Access to citation, abstract and download form provided by ProQuest Information and Learning Company; downloadable PDF file, 206 p, 2007. http://proquest.umi.com/pqdweb?did=1397913021&sid=11&Fmt=2&clientId=8331&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Salut, Roland. "Etude des moyens de lithographie haute résolution pour la fabrication de résonateurs à ondes élastiques de surface : application aux sources embarquées." Phd thesis, Université de Franche-Comté, 2011. http://tel.archives-ouvertes.fr/tel-00839967.

Full text
Abstract:
Le but de ce travail de thèse est d'étudier les moyens de lithographie haute résolution pour la fabrication de résonateurs à ondes élastiques de surface, et de l'illustrer à travers la réalisation de sources de fréquences fonctionnant au-delà du GigaHertz. Dans un premier temps nous abordons les différents dispositifs fondés sur les ondes élastiques de surface puis les sources de fréquence (instabilités caractéristiques) et fixons les objectifs de l'étude au travers notamment d'un état de l'art. Dans un second temps, nous présentons les moyens de lithographie étudiés dans le cadre de ce travail, à savoir la lithographie électronique, la gravure par faisceau d'ions focalisés, la lithographie UV par projection et la lithographie par nano-impression. Pour chacune d'entre elles, nous détaillons le principe de fonctionnement et montrons, notamment grâce à des simulations, leur intérêt et leurs limitations. Ensuite, nous présentons la fabrication et la caractérisation de résonateurs sur différents types de substrats ayant des propriétés innovantes par rapport à nos applications. Le PZT élaboré par épitaxie, présentant des coefficients de couplage élevés (plusieurs pourcents) couplés à une granulométrie fine et une orientation cristalline selon l'axe 001. Le diamant, qui permet d'atteindre des vitesses de phase de l'ordre de 10000 m.s-1, soit une vitesse deux fois supérieure à celles des ondes transverses sur substrat de quartz, quartz que nous avons également étudié afin de rechercher de nouveaux points de fonctionnement à haute fréquence. Pour chaque matériau, nous identifions un ou plusieurs moyen(s) de lithographie qui nous permettent de fabriquer les résonateurs. Les étapes de conception, de fabrication et de caractérisation sont décrites en détail. La dernière partie du manuscrit consiste à exposer les caractéristiques des oscillateurs fondés sur les résonateurs à haut produit Qf ainsi fabriqués (Qf > 5.1012). Nous reportons les résultats obtenus à des fréquences de 1,5 GHz (sur quartz) et à 3 GHz (sur diamant nanocristallin). Le bruit de phase à 10 kHz de la porteuse est compris entre -100 et -110 dBc.Hz-1, et le bruit plancher est de -160 dBc.Hz-1. Nous concluons en donnant des pistes afin d'améliorer ces caractéristiques
APA, Harvard, Vancouver, ISO, and other styles
32

Edely, Mathieu. "Etudes de surfaces métalliques nanolithographiées : application à la diffusion Raman exaltée de surface." Thesis, Le Mans, 2016. http://www.theses.fr/2016LEMA1020.

Full text
Abstract:
Depuis la première observation du phénomène de Diffusion Raman Exaltée de Surface (DRES) en 1974 de nombreuses méthodes ont été développées pour contrôler l'arrangement de nanostructures métalliques sur une surface dans le but d'augmenter le signal de diffusion Raman. La valeur du facteur d'amplification de la DRES résulte principalement de l’accroissement localisé du champ électromagnétique pour des surfaces métalliques nanostructurées. Des études antérieures ont révélé que l'espacement nanométrique entre les nanoparticules constituait des zones de forte exaltation appelées «points chauds». Nous avons développé et breveté une méthode de lithographique assistée par AFM permettant la fabrication de surfaces métalliques. Il a été démontré que cette méthode fournissait une approche relativement simple pour réaliser d’une part des surfaces reproductibles à géométrie contrôlée à l’échelle nanométrique, et d’autre part des surfaces modèles pour étudier l'influence de la géométrie des motifs sur l'effet DRES. Afin d'étudier la relation entre les propriétés optiques et la géométrie de nos systèmes la résonance plasmon localisée de surface (LSPR) et le facteur d'exaltation du champ électrique local ont été simulés par éléments finis. Les zones de forte exaltations ont été localisées sur les nanostructures par microscopie par photoémission d'électrons (PEEM) et l'effet DRES a été démontré en effectuant des mesures Raman avec plusieurs molécules cibles. Les corrélations effectuées entre les résultats de PEEM, les calculs du champ local et les facteurs d’exaltation Raman seront présentées en lien avec les paramètres géométriques des motifs de nanostructures
Since the first observation of Surface Enhanced Raman Scattering (SERS) in 1974 a variety of methods have been developed to physically control the arrangement of metallic nanostructures onto a surface in order to enhance Raman signals. The magnitude of the SERS enhancement factor is mainly driven by the enhanced local electromagnetic field in nanostructured metal surfaces. Gaps between adjacent nanoparticles give rise to strong enhancement effects, often referred as ‘hot spots’. One way to produce highly efficient SERS substrates is to develop a reproducible system of interacting metal nanostructures capable of high field enhancement.We patented a force-assisted Atomic Force Microscopy lithographic method allowing the fabrication of a metallic substrate. It will be shown that this method also provides a relatively simple approach to realize reproducible patterns with controlled geometry that can be used to study the influence of specific pattern geometry on SERS phenomenon.In order to investigate the relationship between optical properties and pattern geometries, localized surface plasmon resonance (LSPR) and local electric field enhancement are simulated.Whereas electric field enhancement regions (hot spot) have been observed on the top of the nanostructures with PhotoEmission Electron Microscopy (PEEM), SERS effect has been demonstrated by performing Raman measurements using several probe molecules. Correlations between PEEM measurements, Raman exaltation and local field calculations are presented in relation with the geometrical parameters of the nanostructured patterns
APA, Harvard, Vancouver, ISO, and other styles
33

Dillon, Thomas. "Grayscale lithography with applications to chip-scale optical interconnects." Access to citation, abstract and download form provided by ProQuest Information and Learning Company; downloadable PDF file, 261 p, 2009. http://proquest.umi.com/pqdweb?did=1891590571&sid=5&Fmt=2&clientId=8331&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Thugu, Mahesh. "Development of Colloid Displacement Lithography Platforms for Sensor Applications." TopSCHOLAR®, 2013. http://digitalcommons.wku.edu/theses/1287.

Full text
Abstract:
In this work, Poly (diallyldimethylammonium) chloride - (PDDA) was used as a base layer for developing colloid displacement lithography platforms for sensor applications. Previous work shows that glass coated with PDDA and exposed to gold acts as a good platform for colloid displacement lithography. However, for actual sensor applications, electrical isolation of individual sensor sections must be achieved. This is attempted by laying down a 40 μm stripe of PDDA on a cleaned substrate and coating that stripe with gold colloid. The size of 40 μm or less in width is set as the target to fit within the scan window of the AFM. Stripes wider than about 40 μm would be difficult to efficiently pattern with colloid displacement lithography. While the goal of 40 μm wide stripes was achieved with sufficiently diluted PDDA solution, it was found to be difficult to adsorb sufficient amounts of gold colloid on those stripes before the stripes were lost from the glass substrate. Further, electroless deposition was found to produce only a small amount of gold on the PDDA surface without colloid nucleation sites being present.
APA, Harvard, Vancouver, ISO, and other styles
35

Demir, Pinar. "Theoretical Investigation And Design For X-ray Lasers And Their Lithographic Application." Phd thesis, METU, 2008. http://etd.lib.metu.edu.tr/upload/12609743/index.pdf.

Full text
Abstract:
Grazing incidence pumping (GRIP) is a scheme to produce x-ray lasers and extreme ultraviolet lithography is a means of lithographic production which requires soft x-rays with a bandwidth of 2% centred at 13,5 nm. In this work firstly a grazing incidence pumping of Ni-like Mo and Ne-like Ti x-ray laser media were simulated by using EHYBRID and a post-processor code coupled to it. The required atomic data were obtained from the Cowan code. Besides, the timing issue needed for amplification purpose in a Ti:Sapphire laser system has been described theoretically. Afterwards, in order to produce soft x-ray lasers for extreme ultraviolet lithographic applications, emission of soft x-rays in the 2% bandwidth centred at 13.5 nm emitted from Sn XII and Sn XIII ions were simulated by using the EHYBRID code for a laser operating at 1064 nm with 1 J of pulse energy and 6 ns of pulse duration. The intensity range that has been investigated is between 1-5 x 1012 W/cm2. Ion fractions of tin ions and line intensities corresponding to different electron temperatures were calculated by using the collisional radiative code NeF.
APA, Harvard, Vancouver, ISO, and other styles
36

Arias, zapata Javier. "Lithographie à très haute résolution par l'auto-assemblage du PS-b-PDMS et les gravures plasma associées : application à la fabrication de matrices de nanorubans de graphène." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT011/document.

Full text
Abstract:
Les copolymères à bloc (BCP) ont la propriété particulière de s’auto-assembler en structures périodiques. Ces macromolécules en association avec la photolithographie est un candidat prometteur à utiliser comme technique alternative pour les patterning avancé de très haute résolution. De cette façon, la réduction des circuits intégrés peut être maintenue. Les BCPs avec une forte incompatibilité chimique entre les deux blocs présentent une valeur élevée du paramètre d’interaction de Flory-Huggins χ. La théorie des BCPs prédit des caractéristiques périodiques de seulement quelques nanomètres avec des BCPs à haut valeur d’interaction.Cette thèse présente un dispositif expérimental en vue du développement d’une lithographie à BCPs de deuxième génération en utilisant le polystyrène-bloc polydiméthylsiloxane (PS-b-PDMS), contre le polystyrène-bloc-Polydi(méthyle méthacrylate) (PS-b-PMMA) à faible valeur de χ. Sur ce sujet, la cinétique d’auto-assemblage d’un PS-b-PDMS avec une valeur du paramètre de segregation χN élevée a été amélioré avec le mélange de plastifiants sélectifs au bloc PS. L’auto-assemblage sur des grandes surfaces a été alors prouvé par un recuit thermique rapide (~ 30 s). Comme une preuve de concept de la lithographie, certains masques PS-b-PDMS testés ont été transférés sur Si, où des caractéristiques allant jusqu’à 25 nm ont été atteintes.Le principe de la lithographie par BCP a également été utilisé pour montrer la structuration de matériaux 2D. Par exemple, le graphène présente un besoin réel de structuration en nanostructures très étroites afin d’ouvrir un gap entre la bande de valence et la bande conduction pour modifier ses propriétés électriques par confinement quantique.Un bas Le PS-b-PDMS a été utilisé pour patterner avec de tailles caractéristiques de 10 nm. Le BCP est déposé par centrifugation et recuit directement sur le graphène.L’auto-assemblage sur de grandes surfaces (1 cm²) est réalisé en quelques minutes et le masque est ensuite transféré vers le graphène par gravure plasma à base d’oxygène, où dans une seule étape la matrice PS est éliminé, les cylindres PDMS oxydés et le graphène gravé. De grandes surfaces de nanorubans de 11 nm de largeur (GNR) ont été fabriquées par la lithographie de l’auto-assemblage du PS-b-PDMS. Un nettoyage au plasma H2 a également été effectué afin d’éliminer les contaminants organiques apparaissant lors des étapes de fabrication. Des techniques différentes pour l’analyse du carbone telles que la spectroscopie photoélectronique de rayons X, la spectroscopie Raman et la microscopie à force atomique ont été utilisées pour montrer la haute qualité des GNR.La caractérisation électrique des GNRs tels que la mobilité et l’ouverture du gap dans le graphène ont également été mesurés pour confirmer le comportement électronique des nanorubans de graphène. Des valeurs de l’ordre de 150 cm²/V s et 30 meV ont été mesurées. L’ensemble de la procédure expérimentale a été réalisé dans le cadre des réglèmentations de salles blanches pour la microélectronique, puis les processus d’auto-assemblage des BCPs proposés sont évolutifs et peu coûteux et sont bien adaptés pour être intégrés aux techniques existantes de fabrication de semi-conducteurs
The Block copolymers (BCPs) have the particular property of self-assemble into ordered periodical structures. These macromolecules in association with the classic photolithography, is a promising candidate to be used as an alternative technique for the advanced patterning. This way, the downsizing of the integrated circuits can be kept up. BCPs with high chemical incompatibility between their blocks exhibit a high value of the Flory-Huggins interaction parameter χ. The BCP theory predicts periodical features sizes with high-χ; BCPs of only few nanometers.The BCP lithography principe was also used to show the patterning of 2D materials. For exemple, graphene present a real needs of patterning into very narrow nanostructures to open up a bandgap to switch its electrical properties by quantum confinement. A low χN PS-b-PDMS was used to pattern ~ 10 nm features. BCP is spin-coated and annealed directly on graphene. Self-assembly on large surfaces (1 cm²) is achieved in few minutes and the mask is then transferred on graphene by oxygen-based plasma etching, where in a single step will eliminate the PS matrix, oxidized the PDMS cylinders and etch the graphene. Large surfaces of 11nm-width Graphen nanoribbons (GNRs) were fabricated by the self-assembly of PS-b-PDMS. Dry H2 plasma cleaning was also performed to remove organic contaminants appearing during the fabrication steps. Different analysis techniques of carbon such as Raman and X-ray photoelectron spectroscopy and atomic force microscopy were used to show the high chemical quality of the GNRs.Electrical characterization of the GNRs such as mobility and the bandgap openingin graphene were measured also to confirm the electronic behavior of the graphene nanoribbons. Values of the order of 150 cm²/V s and 30 meV were measured. The entire procedure was realized under microelectronics clean room requirement, then, the BCP self-assembly processes proposed are scalable and low cost, and is well-suited for integration with existing semiconductor fabrication techniques.The lithographical procedure developed in this investigation could also be generalized to fabricate different graphene nanostructures such as graphene nanomeshes or quantum dots that could be envisaged for other applications in functional devices. GNRs on large surfaces are expect to find a broad ranges of applications, in the fields of electrochemical and bioanalysis
APA, Harvard, Vancouver, ISO, and other styles
37

Yeh, Chun-Cheng. "ZnO micro- and nanostructures from Deep-UV photosensitive solutions for electronic and magnetic applications." Thesis, Mulhouse, 2017. http://www.theses.fr/2017MULH1359/document.

Full text
Abstract:
Ce travail a consisté à mettre au point et étudier des formulations à base d’un précurseur photosensible de Zn (Zinc méthacrylate, ZnMAA). Déposé sous forme de film mince, ce précurseur peut être réticulé par une irradiation dans l’UV profond (193 nm). Il est montré que la réticulation est la conséquence d’une décomposition photoinduite partielle des précurseurs, qui provoque des réactions de condensations, conduisant à la formation du réseau Zn-O-Zn. Cette réaction a été caractérisée par spectroscopie FTIR, XPS et ellipsométrie (chapitre III). Il est montré qu’elle est partielle mais efficace pour conférer au matériau un caractère de résine à tonalité négative, pouvant être utilisée en écriture laser directe. Des structures submicrométriques ont été préparées avec cette résine. Les différentes étapes du procédé de photolithographie sont discutées dans le chapitre IV. En particulier, l’étape de recuit thermique pour obtenir un matériau ZnO est étudiée pour expliquer son impact sur la géométrie des structures obtenues. Le matériau ZnO structuré par cette voie est utilisé enfin pour fabriquer des dispositifs : transistor, capteur de gaz, réseau à propriétés magnétiques, prouvant l’intérêt de cette approche de microstructuration basée sur un matériau préparé par voie liquide
In this thesis, an in-depth investigation to the photosensitive zinc methacrylate (ZnMAA) precursor was made. Zinc methacrylate can be crosslinked under DUV (193 nm) irradiation. The photo-induced solidification is attributed to the partial decomposition of the ZnMAA complex, which gives rise to the following hydrolysis-condensation reactions and the formation of Zn-O-Zn networks. The bonding variation and decomposition of organic species caused by DUV irradiation were carefully investigated by FTIR, XPS and ellipsometry and discussed in Chapter III. DUV irradiation provokes clivage of MAA ligands from zinc cations. However, the intensity of MAA ligands can only be reduced to ~2/3 of its initial intensity regardless the extension of irradiation time, implying only a small amount oxide network can be induced by DUV irradiation. The small amount of Zn-O-Zn networks inside the photo-irradiated regions can effectively decrease the solubility of photo-irradiated regions in polar solvents, which makes ZnMAA precursor just like a negative tone resist and able to be patterned into two-dimensional structures by DUV lithography. Due to good photosensitivity to DUV light (193 nm), the dimension of DUV-patterned ZnMAA structures can be decreased to sub-micro by using binary masks and the effects of each pattering step including (i) DUV exposure, (ii) prebaking and (iii) development on the size and shape of DUV-patterned ZnMAA structures are discussed in Chapter IV. In order to fabricate nanoscale ZnMAA structures, a home-made DUV interference system was used to pattern ZnMAA precursor and 300 nm periodic lines were successfully made. Applications as TFT transistor, gaz sensor and magnetic materials are shown
APA, Harvard, Vancouver, ISO, and other styles
38

Witkowska, Malgorzata Danuta. "Interrogation of the manufacturing route of aluminium AA 1050 used in lithographic application." Thesis, University of Manchester, 2013. https://www.research.manchester.ac.uk/portal/en/theses/interogation-of-the-manufacturing-route-of-aluminium-aa-1050-used-in-lithographic-application(57658930-cb45-470e-b6ab-626781f1c8a2).html.

Full text
Abstract:
The aluminium AA1050 alloy, known as commercially pure aluminium, contains 99.5% Al, together with Fe and Si as major alloying elements. During fabrication of aluminium substrates for lithographic printing plates in Bridgnorth Aluminium Ltd, the AA 1050 aluminium alloy proceeds through various stages of thermomechanical processing, with the conditions at each processing stage influencing the microstructure of the final coil. Because of its specific gravity, tensile strength, surface performance and coating adhesion behaviour, the AA 1050 aluminium alloy is one of the preferred materials for offset printing, which has been the dominant printing process for years. During manufacturing of the offset plate, the AA 1050 alloy is subjected to alkaline etching, electrograining and anodizing. Reactivity of the material to those chemical and electrochemical processes depends on various alloy properties, the thickness and composition of oxide film over the macroscopic alloy surface, cold work applied and the presence of second phase particles, which influence properties and quality of the final product.During the project, the main objectives were to understand the process in the production of the final product from slab to coil as well as to investigate some microstructural changes during the following stages of the production process and, finally, the performance behaviour of the final product.The set of experiments, including microstructural observation and electrochemical tests, has been developed to investigate the AA 1050 aluminium sheet in accordance with the objective of this study. Four homogenisation trials in the industry environment were performed to enable sample collection from the real production line; also, samples from each processing stage were examined with the special attention paid to those collected during the plant experimental homogenisation trials. It was found that the microstructure of the aluminium changed throughout the different production stages and influences the material response in the alkaline solution used for etching. Furthermore, the conditions of homogenisation (time and temperature) have impact on the properties like the electrochemical behaviour in alkaline and acid solutions, as well as the microstructure of the final aluminium sheet. Differentiation between the behaviour of final gauge samples was possible in terms of characterisation of the second phase particles characterisation (distribution and composition) present in the resultant alloy product.
APA, Harvard, Vancouver, ISO, and other styles
39

Davis, G. M. "Application of phase conjugate imaging to excimer laser lithography." Thesis, University of Oxford, 1987. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.233468.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Chen, Mo Ph D. Massachusetts Institute of Technology. "Stop-flow lithography and its application to graphical encoding." Thesis, Massachusetts Institute of Technology, 2015. http://hdl.handle.net/1721.1/97847.

Full text
Abstract:
Thesis: S.M., Massachusetts Institute of Technology, Department of Mechanical Engineering, 2015.
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 72-77).
Colloids of a few to tens of microns have shown great promise in various applications. For practical purposes, colloidal building blocks which self-assemble into operational device are sometimes desired. This preprogrammed assembly requires large quantities of colloidal building blocks with well-defined shape, size and composition, which cannot be provided with existing techniques. In this thesis, a new fabrication technique is presented combining Stop-Flow Lithography (SFL) and a spatial light modulator (SLM). With this technique, geometrically anisotropic colloid particles are generated at high throughput (~106 particles/h). Fabrication of functional materials such as hydrogel and shape memory polymer is proven compatible. All candidate materials can be combined to form chemically anisotropic colloid particles like Janus particles. Further, the feedback mechanism of our system allows adaptive fabrication according to detected suspensions. On the one hand, this extends our material selection pool for the building blocks, as materials incompatible with direct SFL fabrication are incorporated by encapsulation; on the other hand, this capability applies to single cell encapsulation and graphical encoding. This powerful tool facilitates fabrication of complex building blocks and potentially promotes self-assembly and application of colloids. Another project covered in this thesis is called solid-state superionic stamping (S4). It is a direct patterning technique for metals, featuring one-step, large fabrication area, low cost and working in ambient conditions. This technique is complementary to SFL in the sense that it enlarges material selection pool.
by Mo Chen.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
41

Thibault, Christophe. "Impression de biomolécules par lithographie douce, applications pour les biopuces, de l’échelle micrométrique à nanométrique." Toulouse, INSA, 2007. http://eprint.insa-toulouse.fr/archive/00000160/.

Full text
Abstract:
L’objectif des travaux est de démontrer que la lithographie douce, quelquefois baptisée « Micro-Contcat Printing (μCP)», constitue une méthode de dépôt de biomolécules présentant de nombreux avantages pour des applications de type Biopuces. Pour la fabrication de puces à ADN, nous démontrons que le μCP est une technique compétitive par rapport au dépôt robotisé de gouttes traditionnellement utilisé. Le coût est inférieur, la densité des puces est augmentée et la qualité et la définition des motifs biomoléculaires sont supérieures. Une étude complète des mécanismes d’encrage des timbres élastomères d’impression ainsi que des mécanismes de transfert par contact des molécules vers le substrat est présentée. Le rôle prépondérant des fragments de polymère non réticulés présents à la surface des timbres est mis en évidence. Dans un second volet nous étudions la possibilité de générer par la même méthode des puces à biomolécules uniques. Nous montrons comment le μCP peut être poussé jusqu’à une résolution sub-micrométrique proche de 50 nm. Une voie technologique originale impliquant la lithographie douce est proposée : peigner individuellement en des sites organisés précisément sur la surface des longs brins d’ADN pour des études de génétique
The main purpose of this research work is the demonstration that soft-lithography, very often called Micro-Contact Printing (μCP) is an efficient patterning technique for arranging biomolecules on a surface in the perspective of biochip applications. For DNA Micro-arrays applications, we demonstrate that μCP is a competitive method compared to the conventional spotting technology, commonly used today. The cost of the technology is much lower, the surface density of the chip is drastically increased and the quality and definition of the biopatterns are greatly improved. A systematic study of the inking mechanisms of the elastomeric stamps is provided together with the study and comprehension of transfer mechanisms of molecules from the surface of the stamp to the substrate. The crucial role played by the free fragments of polymers not cross-linked during the polymerisation of the stamp is highlighted. In a second section we investigate the possibility of using μCP for generating single biomolecule biochips. We show how this printing technique can be optimized for reaching sub-micrometric scale down to 50 nanometers features. A technological process involving soft-lithography is proposed: combing long DNA molecules on spatially organized and registered positions for genetic applications
APA, Harvard, Vancouver, ISO, and other styles
42

Hastings, Jeffrey Todd 1975. "Nanometer-precision electron-beam lithography with applications in integrated optics." Thesis, Massachusetts Institute of Technology, 2003. http://hdl.handle.net/1721.1/29949.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2003.
Includes bibliographical references (p. 179-185).
Scanning electron-beam lithography (SEBL) provides sub-10-nm resolution and arbitrary-pattern generation; however, SEBL's pattern-placement accuracy remains inadequate for future integrated-circuits and integrated-optical devices. Environmental disturbances, system imperfections, charging, and a variety of other factors contribute to pattern-placement inaccuracy. To overcome these limitations, spatial-phase locked electron-beam lithography (SPLEBL) monitors the beam location with respect to a reference grid on the substrate. Phase detection of the periodic grid signal provides feedback control of the beam position to within a fraction of the period. Using this technique we exposed patterns globally locked to a fiducial grid and reduced local field-stitching errors to a < 1.3 nm. Spatial-phase locking is particularly important for integrated-optical devices that require pattern-placement accuracy within a fraction of the wavelength of light. As an example, Bragg-grating based optical filters were fabricated in silicon-on-insulator waveguides using SPLEBL. The filters were designed to reflect a narrow-range of wavelengths within the communications band near 1550-nm. We patterned the devices in a single lithography step by placing the gratings in the waveguide sidewalls. This design allows apodization of the filter response by lithographically varying the grating depth. Measured transmission spectra show greatly reduced sidelobe levels for apodized devices compared to devices with uniform gratings.
by Jeffrey Todd Hastings.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
43

Sassolas, Benoît. "Étude et réalisation d'empilements multicouches sur des optiques asphériques de grandes dimensions pour des applications en lithographie extrême U. V." Lyon 1, 2008. http://tel.archives-ouvertes.fr/docs/00/37/16/57/PDF/These_Benoit_SASSOLAS_11_sept.pdf.

Full text
Abstract:
La réalisation d’optiques de grandes dimensions est un élément clé à la réussite de la lithographie Extrême-Ultraviolet à 13,5 nm. Leur intégration dans les appareils de production doit permettre d’acheminer un flux lumineux intense de la source jusqu’au wafer et ainsi d’augmenter la productivité. Nous avons étudié et développé des systèmes multicouches à base de molybdène et silicium. Leurs structures ont été étudiées par réflectométrie des rayons X et leurs performances mesurées à 13,5 nm sous rayonnement synchrotron. Les résultats ont révélé une réflectivité à 13,5 nm limitée principalement à cause de la faible qualité des interfaces due à l’inter-diffusion des 2 matériaux. Nous avons aussi porté une attention particulière au traitement de substrats asphériques de grandes dimensions. Nous avons notamment utilisé des techniques de masquage permettant de contrôler les épaisseurs déposées sur des diamètres de près de 500 mm et malgré des flèches proches de 100 mm
The development of large optics is a crucial point for the success of the EUV lithography at 13. 5 nm. The integration of large collectors into steppers allows a gain in the collecting beam and thus improves the throughput. We have studied and developed reflecting molybdenum and silicon multilayers at 13. 5 nm. Structures were analyzed using grazing incidence reflectometry and performances were measured under Synchrotron radiation at operating wavelength. The diffusion observed between the two materials at each interface severely reduces the total reflectivity at 13. 5 nm. We have also studied coatings on large aspherical substrates. To control the gradient profile coatings on large concave collectors ( clear aperture of 500 mm and sag about 100 mm), we have used with success the masking technique
APA, Harvard, Vancouver, ISO, and other styles
44

Vaurette, Francois. "Fabrication top-down, caractérisation et applications de nanofils silicium." Phd thesis, Université des Sciences et Technologie de Lille - Lille I, 2008. http://tel.archives-ouvertes.fr/tel-00342294.

Full text
Abstract:
Cette thèse porte sur l'étude de nanofils silicium réalisés par approche top-down. Elle s'inscrit dans le contexte de la miniaturisation des composants et la compréhension du transport dans les systèmes 1D.

Deux voies de fabrication sont envisagées : la lithographie par AFM (Microscope à Force Atomique) et la lithographie électronique. Cette dernière étant plus reproductible, les dispositifs finaux sont fabriqués par cette technique, à partir d'un substrat SOI et plusieurs étapes de gravure et métallisation.

L'étude des nanofils par mesures I(V) nous permet de mettre en évidence une zone déplétée à l'interface Si/SiO2 natif. Grâce à l'utilisation de nanofils de largeurs et de longueurs différentes, nous sommes capables de déterminer la largeur de la zone déplétée, la densité d'états d'interface ainsi que le niveau de dopage des nanofils. L'évolution de la résistance des nanofils avec la température est également étudiée et montre une dépendance associée à la diffusion des phonons de surface.

Trois applications sont ensuite décrites : un décodeur, un commutateur de courant et un capteur biologique. En effet, la gravure locale des nanofils conduit à une modulation de la bande de conduction, rendant possible la réalisation d'un décodeur. D'autre part, la fabrication de croix à base de nanofils et de grilles latérales à proximité des croix qui contrôlent le passage du courant dans les différentes branches permet de former un commutateur de courant. Enfin, grâce au rapport important de la surface par rapport au volume des nanofils et leur bonne fonctionnalisation chimique, ceux-ci sont utilisés pour détecter électriquement des interactions biologiques (détection de l'ovalbumine).
APA, Harvard, Vancouver, ISO, and other styles
45

Thibault, Christophe. "Impression de biomolécules par lithographie douce, applications pour les biopuces, de l'échelle micrométrique." Phd thesis, INSA de Toulouse, 2007. http://tel.archives-ouvertes.fr/tel-00200042.

Full text
Abstract:
L'objectif des travaux est de démontrer que la lithographie douce, quelquefois baptisée " Micro-Contcat Printing (µCP)", constitue une méthode de dépôt de biomolécules présentant de nombreux avantages pour des applications de type Biopuces. Pour la fabrication de puces à ADN, nous démontrons que le µCP est une technique compétitive par rapport au dépôt robotisé de gouttes traditionnellement utilisé. Le coût est inférieur, la densité des puces est augmentée et la qualité et la définition des motifs biomoléculaires sont supérieures. Une étude complète des mécanismes d'encrage des timbres élastomères d'impression ainsi que des mécanismes de transfert par contact des molécules vers le substrat est présentée. Le rôle prépondérant des fragments de polymère non réticulés présents à la surface des timbres est mis en évidence. Dans un second volet nous étudions la possibilité de générer par la même méthode des puces à biomolécules uniques. Nous montrons comment le µCP peut être poussé jusqu'à une résolution sub-micrométrique proche de 50 nm. Deux voies technologiques originales impliquant la lithographie douce sont proposées : l'une pour peigner individuellement en des sites organisés précisément sur la surface des longs brins d'ADN pour des études de génétique, l'autre pour fixer des molécules individuelles d'ADN par une extrémité rendant possible l'étude dynamique de molécules uniques (ADN) sur de larges populations.
APA, Harvard, Vancouver, ISO, and other styles
46

Hoole, Andrew Charles Frederick. "Nanolithography and its application to the fabrication of electron devices." Thesis, University of Cambridge, 1993. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.308358.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

May, Michael Julian. "Etude des résines à amplification chimique 193 nm de tonalité positive ou négative pour une application microélectronique sub65 nm." Strasbourg, 2008. https://publication-theses.unistra.fr/public/theses_doctorat/2008/MAY_Michael_2008_ED182.pdf.

Full text
Abstract:
Ce travail vise l’étude des résines utilisées en microélectonique. Le procédé de fabrication des circuits intégrés nécessite en effet l’utilisation d’un polymère photosensible qui permet de définir des motifs sur le substrat silicium lors d’une étape d’insolation. Ces motifs servent ensuite de masque lors d’une étape de gravure plasma qui permet d’obtenir le tracé du circuit intégré. L’objectif principal a donc été d’étudier la résistance à la gravure des résines pour une chimie de gravure oxyde donnée. L’analyse de l’ensemble des résines met en évidence des modifications chimiques liées à l’utilisation de monomères acryliques, dont nous avons cherché à déterminer les causes et comment les réduire. Dans un second temps, nous avons cherché à déterminer la résolution limite qu’il est possible d’atteindre avec une résine négative en utilisant un interféromètre à immersion. Nous avons ainsi démontré que les résines négatives nesont pas compétitives vis-à-vis des résines positives
This work focuses on the study of photoresists. The fabrication process of integrated circuits requires their use for generating the resist patterns which later serve as a mask during an etch step that transfer the patterns into the substrate. Therefore, we have studied the etch behaviour of negative and positive 193 nm resists when exposed to a chosen oxide etch plasma. The resist analyses have pointed out that the resist deprotection that occurs during the etch is linked to the acrylic monomers that are part of the resist. The evaluation of model polymers and formulations has then permitted to determine wich parameters are relevant to improve the etch resistance of 193 nm resists. We have then also studied the resolution limit of a negative tone resist using an immersion interferometer. This work has enabled to show that, in spite of the progress realized in the negative tone resist development, this tonality is not competitive compared to the common tonality
APA, Harvard, Vancouver, ISO, and other styles
48

Zankovych, Sergiy. "Nanoimprint lithography as an alternative fabrication technique: towards applications in optics." [S.l.] : [s.n.], 2004. http://deposit.ddb.de/cgi-bin/dokserv?idn=973072911.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Choi, Jinsub. "Fabrication of monodomain porous alumina using nanoimprint lithography and its applications." [S.l. : s.n.], 2004. http://deposit.ddb.de/cgi-bin/dokserv?idn=970954050.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Fairley, Kurtis. "Development and Applications of Thin Film Resists for Electron Beam Lithography." Thesis, University of Oregon, 2016. http://hdl.handle.net/1794/19703.

Full text
Abstract:
Throughout this work several thin film resists have been studied with substantial focus on HafSOx and SU-8. The study of HafSOx has granted more insight into how inorganic, spin coated films form and react under the electron beam. These films have been shown to form a thin dense crust at the surface that could have interesting implications in the interaction of the electrons. Continuing to further understand the electron interactions within the resist, low voltage patterns were created allowing the accelerating voltage to be matched to the film. With this general knowledge, higher resolution films can be constructed with shorter patterning times. Both resists complement each other in that HafSOx produces incredibly thin, dense structures to be formed with features below 10 nm in all dimensions. SU-8 allows micron thick features to be created over several millimeters. This flexibility in feature size enabled the creation of large fractals that could improve neuron binding to artificial retina down to the smallest fractals reported that are interesting for their applications as antennas. The final facet of this work involved looking at other methods of making structures. This was done through adding differing salts to organic molecules that stack into unique crystals. This dissertation includes previously published co-authored material.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography