Academic literature on the topic 'Lithographic applications'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Lithographic applications.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Lithographic applications"

1

Kwon, B., and Jong H. Kim. "Importance of Molds for Nanoimprint Lithography: Hard, Soft, and Hybrid Molds." Journal of Nanoscience 2016 (June 22, 2016): 1–12. http://dx.doi.org/10.1155/2016/6571297.

Full text
Abstract:
Nanoimprint lithography has attracted considerable attention in academic and industrial fields as one of the most prominent lithographic techniques for the fabrication of the nanoscale devices. Effectively controllable shapes of fabricated elements, extremely high resolution, and cost-effectiveness of this especial lithographic system have shown unlimited potential to be utilized for practical applications. In the past decade, many different lithographic techniques have been developed such as electron beam lithography, photolithography, and nanoimprint lithography. Among them, nanoimprint lithography has proven to have not only various advantages that other lithographic techniques have but also potential to minimize the limitations of current lithographic techniques. In this review, we summarize current lithography techniques and, furthermore, investigate the nanoimprint lithography in detail in particular focusing on the types of molds. Nanoimprint lithography can be categorized into three different techniques (hard-mold, soft-mold, and hybrid nanoimprint) depending upon the molds for imprint with different advantages and disadvantages. With numerous studies and improvements, nanoimprint lithography has shown great potential which maximizes its effectiveness in patterning by minimizing its limitations. This technique will surely be the next generation lithographic technique which will open the new paradigm for the patterning and fabrication in nanoscale devices in industry.
APA, Harvard, Vancouver, ISO, and other styles
2

Hruby, Jill. "LIGA Technologies and Applications." MRS Bulletin 26, no. 4 (April 2001): 337–40. http://dx.doi.org/10.1557/mrs2001.76.

Full text
Abstract:
LIGA, an acronym for the German words for lithography, electroplating, and molding, is a technique used to produce micro-electromechanical systems (MEMS) made from metals, ceramics, or plastics. The LIGA process utilizes x-ray synchrotron radiation as a lithographic light source. Highly collimated, high-energy x-rays from the synchrotron impinge on a patterned mask in proximity to an x-ray-sensitive photoresist, typically poly(methyl methacrylate) (PMMA).
APA, Harvard, Vancouver, ISO, and other styles
3

Huenger, Eric, Joe Lachowski, Greg Prokopowicz, Ray Thibault, Michael Gallagher, Scott Kisting, Lynne Mills, and Masaki Kondoh. "Low Temperature Curing - Aqueous Base Developable Photoimageable Dielectric for WLP (Wafer Level Packaging)." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, DPC (January 1, 2012): 000986–1015. http://dx.doi.org/10.4071/2012dpc-tp25.

Full text
Abstract:
As advanced packaging application space evolves and continues to deviate from the conventional shrinkage pathway predicted by Moore's law, material suppliers need to continue to work with OEMs, OSATs and Foundries to identify specific opportunities. One such opportunity continues to present itself in developing new materials to support new platforms for next generation products to support 3D chip stacking and TSV applications. The newer material sets can be established to meet more challenging design requirements associated with the demands, presented by the application from both a physical/lithographical processing and design perspective. Next generation packages requires the development of new dielectric materials that can support both the physical demands of 3D chip stacking and TSV package design aspects while maintaining strengths of the existing material platform. While vertical integration necessitates the use of thinned substrates and its associated integration challenges, there is a continuing need to support horizontal shrinkage typical of the Moore's Law, which pushes the lithography envelope requiring finer pitch and smaller feature resolution capability. This presentation identifies the strategy we have taken and highlights approach taking in the development of low temperature curable photoimageable dielectric materials with enhanced lithographic performance. We will discuss the methodology used to create benzocyclobutene based dielectric material curable at 180 °C and show how lithographic performance can be tuned to allow sub 5 micron via using broad band illumination. Finally we will review the impact of low temperature processing on the mechanical, thermal and electrical properties of this novel photoimageable dielectric material.
APA, Harvard, Vancouver, ISO, and other styles
4

Finter, J. "Photopolymer Systems for Lithographic Applications." Molecular Crystals and Liquid Crystals Incorporating Nonlinear Optics 161, no. 1 (August 1988): 231–53. http://dx.doi.org/10.1080/00268948808070251.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Angelopoulos, Marie. "Lithographic applications of conducting polymers." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 9, no. 6 (November 1991): 3428. http://dx.doi.org/10.1116/1.585816.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Schriever, Guido, and Peter Zink. "EUV Sources for Lithographic Applications." Optik & Photonik 3, no. 2 (June 2008): 40–43. http://dx.doi.org/10.1002/opph.201190189.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Hatzakis, Michael. "Organosilicon polymers for lithographic applications." Makromolekulare Chemie. Macromolecular Symposia 24, no. 1 (January 1989): 169–75. http://dx.doi.org/10.1002/masy.19890240117.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Stewart, Michael D., and C. Grant Willson. "Imprint Materials for Nanoscale Devices." MRS Bulletin 30, no. 12 (December 2005): 947–51. http://dx.doi.org/10.1557/mrs2005.248.

Full text
Abstract:
AbstractNanoimprint lithography is a potentially low-cost, high-resolution patterning technique, but most of the surrounding development work has been directed toward tool designs and processing techniques. There remains a tremendous opportunity and need to develop new materials for specific nanoimprint applications. This article provides an overview of relevant materials-related development work for nanoimprint lithographic applications. Material requirements for nanoimprint patterning for the sub-45-nm integrated-circuit regime are discussed, along with proposed nanoimprint applications such as imprintable dielectrics, conducting polymers, biocompatible materials, and materials for microfluidic devices. Polymers available for thermal nanoimprint processing and photocurable precursors for ultraviolet-assisted nanoimprint lithography are discussed.
APA, Harvard, Vancouver, ISO, and other styles
9

WATT, F., A. A. BETTIOL, J. A. VAN KAN, E. J. TEO, and M. B. H. BREESE. "ION BEAM LITHOGRAPHY AND NANOFABRICATION: A REVIEW." International Journal of Nanoscience 04, no. 03 (June 2005): 269–86. http://dx.doi.org/10.1142/s0219581x05003139.

Full text
Abstract:
To overcome the diffraction constraints of traditional optical lithography, the next generation lithographies (NGLs) will utilize any one or more of EUV (extreme ultraviolet), X-ray, electron or ion beam technologies to produce sub-100 nm features. Perhaps the most under-developed and under-rated is the utilization of ions for lithographic purposes. All three ion beam techniques, FIB (Focused Ion Beam), Proton Beam Writing (p-beam writing) and Ion Projection Lithography (IPL) have now breached the technologically difficult 100 nm barrier, and are now capable of fabricating structures at the nanoscale. FIB, p-beam writing and IPL have the flexibility and potential to become leading contenders as NGLs. The three ion beam techniques have widely different attributes, and as such have their own strengths, niche areas and application areas. The physical principles underlying ion beam interactions with materials are described, together with a comparison with other lithographic techniques (electron beam writing and EUV/X-ray lithography). IPL follows the traditional lines of lithography, utilizing large area masks through which a pattern is replicated in resist material which can be used to modify the near-surface properties. In IPL, the complete absence of diffraction effects coupled with ability to tailor the depth of ion penetration to suit the resist thickness or the depth of modification are prime characteristics of this technique, as is the ability to pattern a large area in a single brief irradiation exposure without any wet processing steps. p-beam writing and FIB are direct write (maskless) processes, which for a long time have been considered too slow for mass production. However, these two techniques may have some distinct advantages when used in combination with nanoimprinting and pattern transfer. FIB can produce master stamps in any material, and p-beam writing is ideal for producing three-dimensional high-aspect ratio metallic stamps of precise geometry. The transfer of large scale patterns using nanoimprinting represents a technique of high potential for the mass production of a new generation of high area, high density, low dimensional structures. Finally a cross section of applications are chosen to demonstrate the potential of these new generation ion beam nanolithographies.
APA, Harvard, Vancouver, ISO, and other styles
10

Lauria, John, Ronald Albright, Olga Vladimirsky, Maarten Hoeks, Roel Vanneer, Bert van Drieenhuizen, Luoqi Chen, Luc Haspeslagh, and Ann Witvrouw. "SLM device for 193nm lithographic applications." Microelectronic Engineering 86, no. 4-6 (April 2009): 569–72. http://dx.doi.org/10.1016/j.mee.2008.11.022.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Lithographic applications"

1

Hadley, Philip. "Aqueous photopolymers for lithographic applications." Thesis, Lancaster University, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.308991.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ceresoli, M. "SYMMETRIC BLOCK COPOLYMERS TEMPLATES FOR NANO-LITHOGRAPHIC APPLICATIONS." Doctoral thesis, Università degli Studi di Milano, 2016. http://hdl.handle.net/2434/422644.

Full text
Abstract:
Nanofabrication has been long characterized by a top-down approach for the definition of small features starting from large pieces of material. In this contest the process of size scaling in microelectronics devices is based on photolithography that is an advanced top-down technology. In order to design integrated circuits with small features with characteristic dimension below 20 nm, a new kind of approach is needed such as the bottom-up one of self-assembly systems. Indeed symmetric block copolymers are able to spontaneously phase separate into ordered nanoscale lamellar pattern, which can be fruitfully implemented into the fabrication of next generation of microelectronics devices. This thesis offers a systematic study of the thermodynamics and kinetics of the self-assembly process of lamellae forming block copolymers in view of their possible exploitation as lithographic materials.
APA, Harvard, Vancouver, ISO, and other styles
3

Eravuchira, Pinkie Jacob. "Lithographic Micro- and Nanostructuring of SU-8 for Biotechnological Applications." Doctoral thesis, Universitat Rovira i Virgili, 2015. http://hdl.handle.net/10803/292245.

Full text
Abstract:
En aquesta tesi doctoral s’ha dut a terme recerca en mètodes de fabricació d’estructures micromètriques i nanomètriques de SU-8. La recerca ha partit de la base d’una anàlisi dels treballs anteriors en estructuració de SU-8 i ha tingut com a principal objectiu el d’obtenir noves estructures per a aplicació en biotecnologia. Un dels resultats més importants de la recerca ha estat la proposta d’una tècnica híbrida que combina fotolitografia i litografia per pressió per obtenir superfícies de SU-8 amb estructura jerarquitzada. Les investigacions també han portat a proposar un mecanisme de sensat basat en la fotoluminescència del SU-8. Els experiments demostren que la fotoluminescència es redueix a cada pas de modificació de la química de superfície. Aquesta característica es produeix de forma repetible també quan s’adhereix un antigen (IgG) a una superfície de SU-8 modificada amb l’anticòs corresponent (aIgG). Gràcies a aquest efecte, s’ha proposat un inmunosensor basat en la reducció de fotoluminescència i se n’ha avaluat la seva sensibilitat. El resultat més rellevant demostra que estructures jeràrquicament organitzades mostren una reducció de fotoluminescència major i per tant una millor sensibilitat.
En esta tesis doctoral se ha llevado a cabo investigación sobre métodos de fabricación de estructuras micrométricas y nanométricas de SU-8. La investigación ha partido de la base de un análisis de los trabajos anteriores en estructuración de SU-8 y ha tenido como principal objetivo el de obtener nuevas estructuras para la aplicación en biotecnología. Uno de los resultados más relevantes de la investigación ha sido la propuesta de una técnica híbrida que combina fotolitografía con litografía por presión para obtener superficies de SU-8 con una estructura jerarquizada. Las investigaciones también han llevado a proponer un mecanismo de sentado basado en la fotoluminiscencia del SU-8. Los experimentos demuestran que la fotoluminiscencia se reduce a cada paso de modificación de la química de superficie. Esta característica se produce de forma repetible también cuando se adhiere un antigen (IgG) a una superficie de SU-8 modificada con el anticuerpo correspondiente (aIgG). Gracia a este efecto se ha propuesto un inmunosensor basado en la reducción de fotoluminiscencia i se ha evaluado su sensibilidad. El resultado más relevante demuestra que las estructuras jerárquicamente organizadas muestran una reducción de fotoluminiscencia mayor, y por tanto una mejor sensibilidad
n this Ph. D. Dissertation research on lithographic methods for the fabrication of micrometric and nanometric SU-8 structures has been carried out. The research has been based on a survey of existing techniques to structure the SU-8 with the main objective of obtaining novel structures for biotechnology applications. One of the main results of the research has been the proposal of an hybrid technique that combines photolithography and soft lithography to obtain hierarchically structured SU-8 surfaces. The investigations have also led to the proposal of a sensing mechanism based on the photoluminescence of SU-8. The experiments show that photoluminescence is reduced with every step of surface chemistry modification. This is a repeatable feature that is observed also upon attachment of an antigen (IgG) onto a SU-8 surface grafted with antibody (aIgG). Thanks to this effect, an immunosensor based on the reduction of photoluminescence has been proposed and its sensitivity has been evaluated. The results show that the hierarchically patterned structures offer a higher photoluminescence reduction and thus a better sensitivity.
APA, Harvard, Vancouver, ISO, and other styles
4

Liang, Jianyu. "Non-lithographic fabrication of superlattices for nanometric electro-magnetic-optic applications /." View online version; access limited to Brown University users, 2005. http://wwwlib.umi.com/dissertations/fullcit/3174638.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Murphy, Julian James. "Lithographic characterisation of a selection of polymeric resists for microlithographic applications." Thesis, University of Kent, 1997. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.244327.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Gotrik, Kevin Willy. "Flow controlled solvent vapor annealing of block copolymers for lithographic applications." Thesis, Massachusetts Institute of Technology, 2013. http://hdl.handle.net/1721.1/81057.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2013.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 185-192).
Self-assembly of block copolymer thin-films may provide an inexpensive alternative to patterning lithographic features below the resolution limits of traditional optical methods. Block copolymers (BCPs) are polymers made of two or more distinct monomer/block units that are covalently bonded. Due to their differences in surface energy, the different blocks tend to phase segregate like oil and water; but because of the covalent linkage, this segregation is practically limited to size scales ranging from only a few nm to ~ 100 nm. A thin film of a BCP can be used in much the same way as a photoresist in the lithographic process, whereas a desired pattern morphology can be obtained by etching one block away and leaving behind a self-assembled hard mask for the underlying substrate. After a thin film of BCP is coated onto a given substrate, the BCP must be given an annealing step, where the disordered entangled polymer networks can be allowed to diffuse and equilibrate into lower free energy configurations which result in periodic patterns of micelles with different morphologies such as spheres, in/out of plane cylinders, etc. This work explored the technique of solvent vapor annealing, where organic solvents were allowed to interact with BCP thin films to facilitate annealing and act as surrogates for the different BCP polymer blocks. This allowed for a wide range of control over the BCP self-assembly (morphology, periodicity, etc.) for a given molecular weight BCP. Additionally, by adding heat at critical times during the self-assembly, time scales for solvent vapor enhanced self-assembly could be reduced from hours to seconds making the prospects for this technology to become industrially applicable more promising.
by Kevin Willy Gotrik.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
7

Alnaimi, Radhwan. "Development of a low-debris laser driven soft X-ray source for lithographic applications." Thesis, Imperial College London, 2016. http://hdl.handle.net/10044/1/61658.

Full text
Abstract:
This work comprehensively describes the design, build and characterisation of a low-debris laser driven soft x-ray source for a variety of applications in particular lithography, in combination with the optimized multilayer structures in order to use the source output as efficiently as possible. The aim of this work was to study the debris emission from different target materials and to minimise or eliminate debris from laser irradiated thin tapes used in multi-shot and long run-time applications. VHS video tape is used as the primary test target in this work and is made of a Mylar (C10H8O4) carrier film coated with a fine magnetic powder of both Fe2O3 and chromium dioxide CrO2 together with a backing layer of carbon black for static control and a binding agent (polyester-polyurethane). VHS video tape is inexpensive, readily available and amenable for use in multi-hour experiments at high repetition rate. The x-ray source described here is built around a 1064 nm Nd:YAG laser, frequency doubled to 532 nm (green) or tripled to 355 nm, with a pulse length of ~800 ps and a repetition rate up to 50 Hz. A versatile cubic target chamber was designed to accommodate the source and a set of computer controlled stage motors are used to allow positioning of the x-ray emission point. A glass plate between the focusing lens and the target prevents the lens from being coated with debris and the use of a low pressure N2 buffer gas (2-6 mbar) was explored as collisions of atomic size debris particles with gas molecules reduces their kinetic energy and consequently their adhesion to the surrounding surfaces. The chamber can also be continually pumped close to the laser-tape interaction point to ensure continuous removal of debris particles. In the VHS video tape target, the source emitted a range of x-ray wavelengths between 0.19 and 2.3nm relevant to kα line emission of both Fe (7.1 keV) and O (0.5 keV) resolved using the mica crystal spectroscopy. In copper target, a 0.13nm wavelength of k edge (8.9 keV) was resolved, and a 0.79nm x-ray light of Al k edge (1.5 keV) was obtained in aluminium tape target. The measured flux of x-ray photons under vacuum was (7.3 × 109 Photons/s) at 100mJ laser energy and the calculated efficiency of the system (laser energy in versus x-rays out) was (1.1 × 10-6). C/Cr multilayer mirrors of variable layer numbers (N= 30- 200) were designed using the IMD software. A magnetron sputtering technique was used to fabricate the multilayers which were then probed using a hard x-ray diffraction method (Cu Kα radiation, λ = 0.154 nm) to characterize their reflectivity, bi-layers structure and surface roughness. Atomic force microscope was used to determine the surface topography and to analyze the surface structure imperfections such as roughness and stress induced damage. The design developed and refined over the course of this work has been shown to be better at reducing target debris than other mitigation strategies described in the literature. A reduction of "large" and potentially damaging particles ranging from 140 to 5 microns in size by a factor of 28 was achieved, and a 10% reduction in the flux of small particles (~5 microns) was observed if only a buffer gas was used. A reduction of 50% in the flux of these smaller particles was seen if both buffer gas and pumping strategies were employed. This should provide longer life time for the delicate optics used in close proximity to a long run time laser plasma x-ray source, and at the same time reduces the cost of running such sources for a range of different applications.
APA, Harvard, Vancouver, ISO, and other styles
8

ANDREOZZI, ANDREA. "Fabrication of nanostructured materials using block copolymer based lithography." Doctoral thesis, Università degli Studi di Milano-Bicocca, 2012. http://hdl.handle.net/10281/28333.

Full text
Abstract:
The main objective of the PhD research activity carried out at MDM Laboratory was the growth and manipulation of nano-objects to be used as building blocks for the fabrication of new generation of nano-transistors, nano-memories and nano-emitters. The first part of the research activity was related to the development of reproducible and controlled protocols for the fabrication of polymeric soft masks for advanced lithographic applications using block copolymers. To this purpose hexagonally packed nanoporous polymeric thin films were fabricated using PS-b-PMMA block copolymers and accurately characterized. Special care was used to the functionalization of the sample surface prior to block copolymer thin film deposition. The effect of the self assembled monolayer of random copolymers conventionally used for surface neutralization was deeply investigated. In particular it was observed that different random copolymer thin films influence the window of thicknesses in which perpendicular orientation of the PMMA domains with respect to the underlying substrate occurs, as well as the characteristic dimensions of the final nanoporous polymeric mask. The possibility of combining “bottom up” self assembly of block copolymers with “top down” patterned templates was then explored to register the periodic domains of the self assembled block copolymer film with the underlying topographic structure. E-beam lithography was used to fabricate trenches in the SiO2 substrate before the deposition of the block copolymer thin films. The nanoporous polymeric mask fabricated during the first part of the research activity was then used as soft mask for patterning the underlying substrate in order to create nanoporous SiO2 hard masks as well as for the fabrication of ordered arrays of Silicon nanodots. The hexagonally packed nanopores of the polymeric mask were transferred to the underlying SiO2 by reactive ion etching. The effects of the etching parameters on the final characteristics of the nanoporous oxide were deeply investigated. The nanoporous SiO2 template was then used as a backbone for the fabrication of tunable nanoporous Al2O3 substrates by atomic layer deposition growth of thin films of Al2O3 on the SiO2 template. Progressive reduction of the pore size down to complete pore filling was obtained by properly adjusting the thickness of the Al2O3 film. This activity demonstrated the feasibility of fabricating periodic nanostructures surfaces with tunable dimensions well below the 20 nm limit. Moreover, since a large variety of oxide materials can be grown by atomic layer deposition, the proposed methodology provided a general approach for the synthesis of nanoporous oxide with accurate control of pore dimension, size distribution and pore frequency. Ordered arrays of Si nanocrystals were fabricated using the nanoporous polymeric film as a lithographic mask to control the formation of the nanodots. Two different approaches were pursued leading to different configurations where nanodots are embedded/deposited in/on the dielectric matrix. The first approach was based on ion beam synthesis and consisted in the implantation of Si ions into the nanostructured polymeric film to locally introduce the desired ion supersaturation in a limited nanosized area. After removal of the polymeric film, a thermal annealing led to the formation of nanocrystals at a depth depending on the ion energy. The second approach was the lift-off process that included material deposition by e-beam evaporation onto the nano-structured polymeric film and on the exposed substrate regions followed by the subsequent removal of the polymeric template and material excess by wet or dry etching. These arrays of semiconducting nanodots are suitable for the fabrication of Si nanocrystals non volatile memories or Si nanocrystals nanoemitters.
APA, Harvard, Vancouver, ISO, and other styles
9

Wieberger, Florian [Verfasser], and Hans-Werner [Akademischer Betreuer] Schmidt. "Synthesis and Combinatorial Optimization of Novel Star-Shaped Resist Materials for Lithographic Applications / Florian Wieberger. Betreuer: Hans-Werner Schmidt." Bayreuth : Universität Bayreuth, 2012. http://d-nb.info/1059412489/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Tu, Fan [Verfasser], and Hubertus [Gutachter] Marbach. "On the Lithographic Fabrication of Fe and Co Nanostructures via Focused Electron/Photon Beam Induced Processing: Properties and Applications of the Structures / Fan Tu ; Gutachter: Hubertus Marbach." Erlangen : Friedrich-Alexander-Universität Erlangen-Nürnberg (FAU), 2017. http://d-nb.info/1150964308/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Lithographic applications"

1

E, Seeger David, and Society of Photo-optical Instrumentation Engineers., eds. Emerging lithographic technologies: 10-11 March 1997, Santa Clara, California. Bellingham, Wash: SPIE, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Yuli, Vladimirsky, Society of Photo-optical Instrumentation Engineers., and Semiconductor Equipment and Materials International, eds. Emerging lithographic technologies III: 15-17 March, 1999, Santa Clara, California. Bellingham, Wash: SPIE, 1999.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

1969-, Lercel Michael J., Society of Photo-optical Instrumentation Engineers., and International SEMATECH, eds. Emerging lithographic technologies X: 21-23 February, 2006, San Jose, California, USA. Bellingham, Wash: SPIE, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

L, Engelstad Roxann, Society of Photo-optical Instrumentation Engineers., Semiconductor Equipment and Materials International., and International SEMATECH, eds. Emerging lithographic technologies VII: 25-27 February, 2003, Santa Clara, California, USA. Bellingham, Wash: SPIE, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Ann, Dobisz Elizabeth, Society of Photo-optical Instrumentation Engineers., Semiconductor Equipment and Materials International., and International SEMATECH, eds. Emerging lithographic technologies IV: 28 February-1 March, 2000, Santa Clara, USA. Bellingham, Wash: SPIE, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

1969-, Lercel Michael J., Society of Photo-optical Instrumentation Engineers., and SEMATECH (Organization), eds. Emerging lithographic technologies XI: 27 February- 1 March 2007, San Jose, California, USA. Bellingham, Wash: SPIE, 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

1969-, Lercel Michael J., Society of Photo-optical Instrumentation Engineers., and SEMATECH (Organization), eds. Emerging lithographic technologies XI: 27 February- 1 March 2007, San Jose, California, USA. Bellingham, Wash: SPIE, 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Scott, Mackay R., Society of Photo-optical Instrumentation Engineers., Semiconductor Equipment and Materials International., and International SEMATECH, eds. Emerging lithographic technologies VIII: 24-26 February, 2004, Santa Clara, California, USA. Bellingham, Wash., USA: SPIE, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Yuli, Vladimirsky, Society of Photo-optical Instrumentation Engineers., Semiconductor Equipment and Materials International, and SEMATECH (Organization), eds. Emerging lithographic technologies II: 23-25 February 1998, Santa Clara, California. Bellingham, Wash: SPIE, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Ann, Dobisz Elizabeth, Society of Photo-optical Instrumentation Engineers., Semiconductor Equipment and Materials International, and International SEMATECH, eds. Emerging lithographic technologies V: 27 February-1 March, 2001, Santa Clara, [California], USA. Bellingham, Wash: SPIE, 2001.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Lithographic applications"

1

McCarley, Robin L., Melani G. Sullivan, Stanton Ching, Yining Zhang, and Royce W. Murray. "Lithographic and Related Microelectrode Fabrication Techniques." In Microelectrodes: Theory and Applications, 205–26. Dordrecht: Springer Netherlands, 1991. http://dx.doi.org/10.1007/978-94-011-3210-7_12.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Cheng, Alison Y., Scott B. Clendenning, and Ian Manners. "Lithographic Applications of Highly Metallized Polyferrocenylsilanes." In Macromolecules Containing Metal and Metal-Like Elements, 49–58. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2005. http://dx.doi.org/10.1002/0471747319.ch2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

HIRAOKA, H. "Functionally Substituted Novolak Resins: Lithographic Applications, Radiation Chemistry, and Photooxidation." In ACS Symposium Series, 339–60. Washington, D.C.: American Chemical Society, 1985. http://dx.doi.org/10.1021/bk-1984-0266.ch017.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Castronovo, Matteo, and Denis Scaini. "The Atomic Force Microscopy as a Lithographic Tool: Nanografting of DNA Nanostructures for Biosensing Applications." In DNA Nanotechnology, 209–21. Totowa, NJ: Humana Press, 2011. http://dx.doi.org/10.1007/978-1-61779-142-0_15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Montelius, Lars, and Babak Heidari. "Biotechnology Applications of NIL." In Alternative Lithography, 297–303. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4419-9204-8_16.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Seekamp, J. "Optical Applications of Nanoimprint Lithography." In Alternative Lithography, 287–96. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4419-9204-8_15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Otuka, Adriano J. G., Vinicius Tribuzi, Daniel S. Correa, and Cleber R. Mendonça. "Three-Dimensional Microstructures for Biological Applications." In Multiphoton Lithography, 355–76. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2016. http://dx.doi.org/10.1002/9783527682676.ch14.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Chen, Y., M. Natali, S. P. Li, and A. Lebib. "Application of Nanoimprint Lithography in Magnetism." In Alternative Lithography, 249–70. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4419-9204-8_13.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Schmidt, Georg, Tatjana Borzenko, Massimo Tormen, Volkmar Hock, and Laurens W. Molenkamp. "Application of Microcontact Printing and Nanoimprint Lithography." In Alternative Lithography, 271–85. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4419-9204-8_14.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Schumm, Benjamin, and Stefan Kaskel. "Nanoimprint Lithography for Photovoltaic Applications." In Solar Cell Nanotechnology, 185–201. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2013. http://dx.doi.org/10.1002/9781118845721.ch7.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Lithographic applications"

1

Woo Lee, Hong Jin Fan, Marin Alexe, Roland Scholz, Margit Zacharias, Kornelius Nielsch, and Ulrich Gosele. "Metal nanotube membranes and their lithographic applications." In 2006 IEEE Nanotechnology Materials and Devices Conference. IEEE, 2006. http://dx.doi.org/10.1109/nmdc.2006.4388876.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Zhu, Hongwei, Tingwen Xing, and Zexiang Chen. "Dynamic compensation for the lithographic object lens." In SPIE Optical Engineering + Applications, edited by José Sasián and Richard N. Youngworth. SPIE, 2014. http://dx.doi.org/10.1117/12.2060302.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Li, M., X. Yang, N. Cox, J. Beadsworth, and D. Deppe. "Record Low Differential Resistance Using Lithographic VCSELs." In CLEO: Applications and Technology. Washington, D.C.: OSA, 2016. http://dx.doi.org/10.1364/cleo_at.2016.jth2a.49.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Wang, Tianji, Yaotang Li, Shining Yang, Shaowu Fan, Shichao Zhang, and Huanrong Wen. "Fractal in laser lithographic digital hologram." In 1998 International Conference on Applications of Photonic Technology, edited by George A. Lampropoulos and Roger A. Lessard. SPIE, 1998. http://dx.doi.org/10.1117/12.328694.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Oinuma, Ryoji, and Frederick Best. "Evaporative modeling for idealized lithographic pores." In SPACE TECHNOLOGY AND APPLICATIONS INTERNATIONAL FORUM- STAIF 2002. AIP, 2002. http://dx.doi.org/10.1063/1.1449704.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Xu, Shuang, Gongfa Li, Bo Tao, and Yongxing Guo. "Polarization aberration measurement of lithographic tools." In Optical Metrology and Inspection for Industrial Applications V, edited by Sen Han, Toru Yoshizawa, and Song Zhang. SPIE, 2018. http://dx.doi.org/10.1117/12.2500778.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Rea, Edward C., Andrea Caprara, Colin Seaton, and Yefim Kil. "198-nm cw laser source for lithographic applications." In ICALEO® 2003: 22nd International Congress on Laser Materials Processing and Laser Microfabrication. Laser Institute of America, 2003. http://dx.doi.org/10.2351/1.5060137.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Zeitner, Uwe D., Tina Weichelt, Yannick Bourgin, and Robert Kinder. "Alternative high-resolution lithographic technologies for optical applications." In SPIE Advanced Lithography, edited by Andreas Erdmann and Jongwook Kye. SPIE, 2016. http://dx.doi.org/10.1117/12.2222028.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kuan, S. W. J., C. C. Fu, R. F. W. Pease, and C. W. Frank. "Studies Of Ultrathin Polymer Films For Lithographic Applications." In 1988 Microlithography Conferences, edited by Scott A. MacDonald. SPIE, 1988. http://dx.doi.org/10.1117/12.968342.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Yasar, Ozlem, Michael Dinh, Shih-Feng Lan, and Binil Starly. "Fabrication of Micropatterned Hydrogels Using Maskless Photopolymerization for Tissue Engineering Applications." In ASME 2008 Summer Bioengineering Conference. American Society of Mechanical Engineers, 2008. http://dx.doi.org/10.1115/sbc2008-192377.

Full text
Abstract:
The fabrication of tissue scaffolds/constructs has seen tremendous advancements over the past decade primarily due to the application of layered manufacturing based technologies from the rapid prototyping industry. Molding, printing and deposition technologies have enabled researchers to spatially control scaffold micro and macro structural features through the use of soft lithography solid freeform fabrication. These techniques have produced patterned scaffolds with desired mechanical and biological properties to mimic the natural tissue microenvironment. Soft lithographic techniques have produced feature sizes in ranges of 20μm and above but have limited 3D capability. Solid freeform fabrication technologies enable the production of complex 3D scaffolds due to its CAD integration but are generally limited to a 100μm feature resolutions.
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Lithographic applications"

1

Kuang, Ping. A new architecture as transparent electrodes for solar and IR applications based on photonic structures via soft lithography. Office of Scientific and Technical Information (OSTI), January 2011. http://dx.doi.org/10.2172/1029554.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography