Journal articles on the topic 'High dielectric materials'

To see the other types of publications on this topic, follow the link: High dielectric materials.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'High dielectric materials.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Lu, Feng Ming, Jiang Shao, Xiao Yu Liu, and Xing Hao Wang. "Research on TDDB Effect in High-k Materials." Advanced Materials Research 548 (July 2012): 203–8. http://dx.doi.org/10.4028/www.scientific.net/amr.548.203.

Full text
Abstract:
With continual scaling of ICs, the thickness of gate oxide becomes thinner and thinner which affects the reliability of semiconductor device greatly. The mechanism of time-dependent dielectric breakdown (TDDB) was analyzed. Six mathematical models of TDDB which were divided according to the position of defects and the physical property of charged particles were discussed. Then the dielectric breakdown characteristic of high k dielectrics and the relationships between the breakdown electric field, field acceleration parameter and dielectric constant were analyzed in detail. Finally, the relationships and mathematical models were verified by experimental data which provided theoretical basis for the choosing and use of high k materials.
APA, Harvard, Vancouver, ISO, and other styles
2

Susarla, Sandhya, Thierry Tsafack, Peter Samora Owuor, Anand B. Puthirath, Jordan A. Hachtel, Ganguli Babu, Amey Apte, et al. "High-K dielectric sulfur-selenium alloys." Science Advances 5, no. 5 (May 2019): eaau9785. http://dx.doi.org/10.1126/sciadv.aau9785.

Full text
Abstract:
Upcoming advancements in flexible technology require mechanically compliant dielectric materials. Current dielectrics have either high dielectric constant, K (e.g., metal oxides) or good flexibility (e.g., polymers). Here, we achieve a golden mean of these properties and obtain a lightweight, viscoelastic, high-K dielectric material by combining two nonpolar, brittle constituents, namely, sulfur (S) and selenium (Se). This S-Se alloy retains polymer-like mechanical flexibility along with a dielectric strength (40 kV/mm) and a high dielectric constant (K = 74 at 1 MHz) similar to those of established metal oxides. Our theoretical model suggests that the principal reason is the strong dipole moment generated due to the unique structural orientation between S and Se atoms. The S-Se alloys can bridge the chasm between mechanically soft and high-K dielectric materials toward several flexible device applications.
APA, Harvard, Vancouver, ISO, and other styles
3

Lo, Wai, Arvind Kamath, Shreyas Kher, Craig Metzner, Jianguo Wen, and Zhihao Chen. "Deposition and characterization of HfO2 high k dielectric films." Journal of Materials Research 19, no. 6 (June 2004): 1775–82. http://dx.doi.org/10.1557/jmr.2004.0247.

Full text
Abstract:
As the scaling of complementary metal-oxide-semiconductor (CMOS) transistors proceeds, the thickness of the SiO2 gate dielectrics shrinks rapidly and results in higher gate leakage currents. High k dielectric materials are acknowledged to be the possible solutions to this challenge, as their higher k values (e.g., 15–50) raise the physical thickness of the dielectrics that provide similar equivalent thickness of a thinner SiO2 film. In order for the high k materials to be applicable in CMOS devices, there should exist deposition technologies that can deposit highly uniform films over Si wafers with diameters as large as 200 mm. This report discusses the deposition process and the correlation between the growth conditions, structural and dielectric properties of HfO2, which is one of the most promising high k dielectric materials. Judging from the thickness uniformity, surface roughness, k value, and interfacial density of state of the HfO2 films, the metalorganic chemical vapor deposition technique was identified to be suitable for growing HfO2 films targeted at applications as CMOS gate dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
4

BERSUKER, GENNADI, BYOUNG HUN LEE, and HOWARD R. HUFF. "Novel Dielectric Materials for Future Transistor Generations." International Journal of High Speed Electronics and Systems 16, no. 01 (March 2006): 221–39. http://dx.doi.org/10.1142/s012915640600362x.

Full text
Abstract:
Relations between the electronic properties of high-k materials and electrical characteristics of high-k transistor are discussed. It is pointed out that the intrinsic limitations of these materials from the standpoint of gate dielectric applications are related to the presence of d-electrons, which facilitate high values of the dielectric constant. It is shown that the presence of structural defects responsible for electron trapping and fixed charges, and the dielectrics' tendency for crystallization and phase separation induce threshold voltage instability and mobility degradation in high-k transistors. The quality of the SiO 2-like layer at the high-k/ Si substrate interface, as well as dielectric interaction with the gate electrode, may significantly affect device characteristics.
APA, Harvard, Vancouver, ISO, and other styles
5

Zhang, Lei, Jiale Mao, Shuang Wang, Yiting Zheng, Xiangdong Liu, and Yonghong Cheng. "Benzoxazine Based High Performance Materials with Low Dielectric Constant: A Review." Current Organic Chemistry 23, no. 7 (July 16, 2019): 809–22. http://dx.doi.org/10.2174/1385272823666190422130917.

Full text
Abstract:
Interlayer dielectrics with low dielectric constant are the key to unlock the high arithmetic speed of integrated circuit, one of the kernels of modern industry. Polybenzoxazine, derived from benzoxazine precursor, is a new generation of phenolic resin that is considered as an ideal potential candidate for electronic materials due to its unique properties. However, for developing higher speed supercomputers, the dielectric property of conventional polybenzoxazine becomes the Achilles’ heel. Fortunately, the versatile design flexibility of benzoxazine chemistry provides the possibility to reduce the dielectric constant of the material. This review focuses on the recent attempts to synthesize low dielectric benzoxazine and the properties of the corresponding polybenzoxazine materials. Methods including fluorination, hydrocarbon introduction, heterocycle generation, molecular weight tailoring, copolymerization and organic-inorganic hybridization are introduced.
APA, Harvard, Vancouver, ISO, and other styles
6

Yan, X. Z., and T. Goodson. "High Dielectric Hyperbranched Polyaniline Materials." Journal of Physical Chemistry B 110, no. 30 (August 2006): 14667–72. http://dx.doi.org/10.1021/jp061522p.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Fukunaga, K. "Dielectric materials at high frequencies." IEEE Transactions on Dielectrics and Electrical Insulation 13, no. 4 (August 2006): 687. http://dx.doi.org/10.1109/tdei.2006.1667725.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Ling, H. C., M. F. Yan, and W. W. Rhodes. "High dielectric constant and small temperature coefficient bismuth-based dielectric compositions." Journal of Materials Research 5, no. 8 (August 1990): 1752–62. http://dx.doi.org/10.1557/jmr.1990.1752.

Full text
Abstract:
We have studied the crystal structure and the dielectric properties of a scries of Bi-based ceramic compositions as a function of compositional variation and sintering temperature. These dielectrics have dielectric constants hetween 70 and 165 and their temperature coefficients are within ±500 × 10−6/°C. The precise temperature coefficient can be controlled via compositional changes such that dielectrics with temperature coefficients within ±50 × 10−6/°C are easily obtainable. The room temperature dissipation factor is smaller than 0.001 or equivalently, the Q value is greater than 1000. The electrical resistivity is greater than 1014 ohm-cm. Furthermore, these dielectrics are sinterable below 960 °C, rendering it possible to use silver or high silver metallization as the internal electrode in making the multilayer ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
9

Zhao, Cuijiao, Xiaonan Wei, Yawen Huang, Jiajun Ma, Ke Cao, Guanjun Chang, and Junxiao Yang. "Preparation and unique dielectric properties of nanoporous materials with well-controlled closed-nanopores." Physical Chemistry Chemical Physics 18, no. 28 (2016): 19183–93. http://dx.doi.org/10.1039/c6cp00465b.

Full text
Abstract:
Although general porous materials have a low dielectric constant, their uncontrollable opened porous structure results in high dielectric loss and poor barrier properties, thus limiting their application as interconnect dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
10

You, Yong, Chenhao Zhan, Ling Tu, Yajie Wang, Weibin Hu, Renbo Wei, and Xiaobo Liu. "Polyarylene Ether Nitrile-Based High-k Composites for Dielectric Applications." International Journal of Polymer Science 2018 (July 10, 2018): 1–15. http://dx.doi.org/10.1155/2018/5161908.

Full text
Abstract:
Flexible polymer-based composites exhibiting high dielectric constant as well as low dielectric loss have been intensively investigated for their potential utilization in electronics and electricity industry and energy storage. Resulting from the polar -CN on the side chain, polyarylene ether nitrile (PEN) shows relatively high dielectric constant which has been extensively investigated as one of the hot spots as dielectric materials. However, the dielectric constant of PEN is still much lower than the ceramic dielectrics such as BaTiO3, TiO2, and Al2O3. In this review, recent and in-progress advancements in the designing and preparing strategies to obtain high-k PEN-based nanocomposites are summarized. According to the types of the added fillers, the effects of organic fillers, dielectric ceramic fillers, and conductive fillers on electric properties of PEN-based composites are investigated. In addition, other factors including the structures and sizes of the additive, the compatibility between the additive agent and the PEN, and the interface which affects the dielectric properties of the obtained composite materials are investigated. Finally, challenges facing in the design of more effective strategies for the high-k PEN-based dielectric materials are discussed.
APA, Harvard, Vancouver, ISO, and other styles
11

Wang, Yuxin, Xingyi Huang, Tao Li, Zhongwu Wang, Liqiang Li, Xiaojun Guo, and Pingkai Jiang. "Novel crosslinkable high-k copolymer dielectrics for high-energy-density capacitors and organic field-effect transistor applications." J. Mater. Chem. A 5, no. 39 (2017): 20737–46. http://dx.doi.org/10.1039/c7ta06005j.

Full text
Abstract:
Novel dielectric materials using crosslinkable high-kcopolymers were prepared with high energy density and high efficiency. They were also applied as OFET gate dielectrics to achieve low-voltage operation.
APA, Harvard, Vancouver, ISO, and other styles
12

Ghule, B., and M. Laad. "Polymer Composites with Improved Dielectric Properties: A Review." Ukrainian Journal of Physics 66, no. 2 (March 4, 2021): 166. http://dx.doi.org/10.15407/ujpe66.2.166.

Full text
Abstract:
Materials exhibiting high dielectric constant (k) values find applications in capacitors, gate dielectrics, dielectric elastomers, energy storage device, while materials with low dielectric constant are required in electronic packaging and other such applications. Traditionally, high k value materials are associated with high dielectric losses, frequency-dependent dielectric behavior, and high loading of a filler. Materials with low k possess a low thermal conductivity. This creates the new challenges in the development of dielectric materials in both kinds of applications. Use of high dielectric constant filler materials increases the dielectric constant. In this study,the factors affecting the dielectric constant and the dielectric strength of polymer composites are explored. The present work aims to study the effect of various parameters affecting the dielectric properties of the materials. The factors selected in this study are the type of a polymer, type of a filler material used, size, shape, loading level and surface modification of a filler material, and method of preparation of the polymer composites. The study is focused on the dielectric enhancement of polymer nanocomposites used in the field of energy storage devices. The results show that the core-shell structured approach for high dielectric constant materials incorporated in a polymer matrix improves the dielectric constant of the polymer composite.
APA, Harvard, Vancouver, ISO, and other styles
13

Baklanov, Mikhail R., and Karen Maex. "Porous low dielectric constant materials for microelectronics." Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences 364, no. 1838 (November 29, 2005): 201–15. http://dx.doi.org/10.1098/rsta.2005.1679.

Full text
Abstract:
Materials with a low dielectric constant are required as interlayer dielectrics for the on-chip interconnection of ultra-large-scale integration devices to provide high speed, low dynamic power dissipation and low cross-talk noise. The selection of chemical compounds with low polarizability and the introduction of porosity result in a reduced dielectric constant. Integration of such materials into microelectronic circuits, however, poses a number of challenges, as the materials must meet strict requirements in terms of properties and reliability. These issues are the subject of the present paper.
APA, Harvard, Vancouver, ISO, and other styles
14

Fan, Baoyan, Feihua Liu, Guang Yang, He Li, Guangzu Zhang, Shenglin Jiang, and Qing Wang. "Dielectric materials for high‐temperature capacitors." IET Nanodielectrics 1, no. 1 (April 2018): 32–40. http://dx.doi.org/10.1049/iet-nde.2018.0002.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Wallace, Robert M., and Glen D. Wilk. "High-κ Dielectric Materials for Microelectronics." Critical Reviews in Solid State and Materials Sciences 28, no. 4 (October 2003): 231–85. http://dx.doi.org/10.1080/714037708.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Wallace, Robert M., and Glen Wilk. "Alternative Gate Dielectrics for Microelectronics." MRS Bulletin 27, no. 3 (March 2002): 186–91. http://dx.doi.org/10.1557/mrs2002.70.

Full text
Abstract:
AbstractThis brief article sets the context for the March 2002 issue of MRS Bulletin focusing on Alternative Gate Dielectrics for Microelectronics. Contributors are several experts from industry and academia engaged in the search for manufacturable solutions for a suitable alternative gate dielectric to SiO2 using high-dielectric-constant (high-ĸ) materials. Issues discussed in the articles include thermodynamics criteria for materials selection, materials interactions in the construction of the transistor gate stack, characterization of alternative materials, determination of suitable band offsets for candidate dielectrics, and integration of these alternative gate dielectrics in a manufacturable environment.
APA, Harvard, Vancouver, ISO, and other styles
17

McPherson, J., J.-Y. Kim, A. Shanware, and H. Mogul. "Thermochemical description of dielectric breakdown in high dielectric constant materials." Applied Physics Letters 82, no. 13 (March 31, 2003): 2121–23. http://dx.doi.org/10.1063/1.1565180.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Li, Qi, Fang-Zhou Yao, Yang Liu, Guangzu Zhang, Hong Wang, and Qing Wang. "High-Temperature Dielectric Materials for Electrical Energy Storage." Annual Review of Materials Research 48, no. 1 (July 2018): 219–43. http://dx.doi.org/10.1146/annurev-matsci-070317-124435.

Full text
Abstract:
The demand for high-temperature dielectric materials arises from numerous emerging applications such as electric vehicles, wind generators, solar converters, aerospace power conditioning, and downhole oil and gas explorations, in which the power systems and electronic devices have to operate at elevated temperatures. This article presents an overview of recent progress in the field of nanostructured dielectric materials targeted for high-temperature capacitive energy storage applications. Polymers, polymer nanocomposites, and bulk ceramics and thin films are the focus of the materials reviewed. Both commercial products and the latest research results are covered. While general design considerations are briefly discussed, emphasis is placed on material specifications oriented toward the intended high-temperature applications, such as dielectric properties, temperature stability, energy density, and charge-discharge efficiency. The advantages and shortcomings of the existing dielectric materials are identified. Challenges along with future research opportunities are highlighted at the end of this review.
APA, Harvard, Vancouver, ISO, and other styles
19

Chen, Liang-Yu. "High Temperature Capacitors Based on [0001] and [1120] Sapphire Dielectrics." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2010, HITEC (January 1, 2010): 000182–87. http://dx.doi.org/10.4071/hitec-lchen-wa12.

Full text
Abstract:
The test results of the dielectric properties of [0001] (C-plane) and [1120] (A-plane) sapphire (single crystalline Al2O3) at high temperatures indicate that these materials have very stable dielectric constants and low dielectric losses (compared with polycrystalline alumina) at low frequencies in the temperature range from room temperature to 550°C. Therefore, sapphire materials have become likely candidate dielectric materials for high temperature capacitors. This paper reports prototype low-volume (∼100pF) capacitors based on sapphire dielectrics for high temperature and low frequency applications. Low-volume parallel-plate capacitors using C-plane and A-plane sapphire as dielectric material were fabricated by stacking metallized sapphire substrates. These prototype capacitors were characterized in the temperature range from room temperature to 550°C by measuring the capacitance and parallel resistance of these devices at 120Hz, 1kHz, 10kHz, 100kHz, and 1MHz. The capacitance and equivalent parallel resistance of these capacitors were all directly measured by an AC LCZ impedance meter in controlled temperature environments. These prototype devices demonstrate stable capacitances over a wide temperature range, and therefore, have the potential to be integrated with silicon carbide (SiC) devices to enable high temperature electronics. The needs of thin-film metallization and encapsulation for these sapphire substrates are also discussed.
APA, Harvard, Vancouver, ISO, and other styles
20

WU, De-Qi. "Development of High-K Gate Dielectric Materials." Journal of Inorganic Materials 23, no. 5 (October 23, 2008): 865–71. http://dx.doi.org/10.3724/sp.j.1077.2008.00865.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Kazaoui, S., J. Ravez, C. Elissalde, and M. Maglione. "High frequency dielectric relaxation in BaTio3derived materials." Ferroelectrics 135, no. 1 (October 1992): 85–99. http://dx.doi.org/10.1080/00150199208230015.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

RYCHETSKY, I., and J. PETZELT. "Dielectric Spectra of Grainy High-Permittivity Materials." Ferroelectrics 303, no. 1 (January 2004): 137–40. http://dx.doi.org/10.1080/00150190490453072.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Kakemoto, Hirofumi, Song-Min Nam, Satoshi Wada, and Takaaki Tsurumi. "High-Frequency Dielectric Measurement Using Non-contact Probe for Dielectric Materials." Japanese Journal of Applied Physics 45, no. 4B (April 25, 2006): 3002–6. http://dx.doi.org/10.1143/jjap.45.3002.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Kakemoto, Hirofumi, Song Min Nam, Satoshi Wada, and Takaaki Tsurumi. "High Frequency Dielectric Mapping Using Un-Contact Probe for Dielectric Materials." Key Engineering Materials 320 (September 2006): 189–92. http://dx.doi.org/10.4028/www.scientific.net/kem.320.189.

Full text
Abstract:
The microwave reflection intensity was measured at room temperature for Cu-plate, Al2O3 and SrTiO3 single crystals using a un-contact probe as a function of distance between sample and probe. The difference of reflection intensity for Cu-plate, Al2O3 and SrTiO3 single crystals was observed in the region where the distance of 0.2mm between sample and probe, and it was caused from dielectric permittivities of samples. The reflection coefficient of sample was estimated in comparison with results of electromagnetic simulation using finite differential time domain method. The reflection intensity for Cu-plate, Al2O3 and SrTiO3 single crystals was transformed to dielectric permittivity at reflection intensity minimum point. The dielectric permittivity mapping was also examined at reflection intensity minimum point.
APA, Harvard, Vancouver, ISO, and other styles
25

Qi, L., B. I. Lee, S. Chen, W. D. Samuels, and G. J. Exarhos. "High-Dielectric-Constant Silver-Epoxy Composites as Embedded Dielectrics." Advanced Materials 17, no. 14 (July 18, 2005): 1777–81. http://dx.doi.org/10.1002/adma.200401816.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

JACOB, MOHAN V. "LOW LOSS DIELECTRIC MATERIALS FOR HIGH FREQUENCY APPLICATIONS." International Journal of Modern Physics B 23, no. 17 (July 10, 2009): 3649–54. http://dx.doi.org/10.1142/s0217979209063122.

Full text
Abstract:
The microwave properties of some of the low cost materials which can be used in high frequency applications with low transmission losses are investigated in this paper. One of the most accurate microwave characterization techniques, Split Post Dielectric Resonator technique (SPDR) is used for the experimental investigation. The dielectric constants of the 3 materials scrutinized at room temperature and at 10K are 3.65, 2.42, 3.61 and 3.58, 2.48, 3.59 respectively. The corresponding loss tangent values are 0.00370, 0.0015, 0.0042 and 0.0025, 0.0009, 0.0025. The high frequency transmission losses are comparable with many of the conventional materials used in low temperature electronics and hence these materials could be implemented in such applications.
APA, Harvard, Vancouver, ISO, and other styles
27

Shimoga, Ganesh, and Sang-Youn Kim. "High-k Polymer Nanocomposite Materials for Technological Applications." Applied Sciences 10, no. 12 (June 20, 2020): 4249. http://dx.doi.org/10.3390/app10124249.

Full text
Abstract:
Understanding the properties of small molecules or monomers is decidedly important. The efforts of synthetic chemists and material engineers must be appreciated because of their knowledge of how utilize the properties of synthetic fragments in constructing long-chain macromolecules. Scientists active in this area of macromolecular science have shared their knowledge of catalysts, monomers and a variety of designed nanoparticles in synthetic techniques that create all sorts of nanocomposite polymer stuffs. Such materials are now an integral part of the contemporary world. Polymer nanocomposites with high dielectric constant (high-k) properties are widely applicable in the technological sectors including gate dielectrics, actuators, infrared detectors, tunable capacitors, electro optic devices, organic field-effect transistors (OFETs), and sensors. In this short colloquy, we provided an overview of a few remarkable high-k polymer nanocomposites of material science interest from recent decades.
APA, Harvard, Vancouver, ISO, and other styles
28

Li, Qi, Feihua Liu, Tiannan Yang, Matthew R. Gadinski, Guangzu Zhang, Long-Qing Chen, and Qing Wang. "Sandwich-structured polymer nanocomposites with high energy density and great charge–discharge efficiency at elevated temperatures." Proceedings of the National Academy of Sciences 113, no. 36 (August 22, 2016): 9995–10000. http://dx.doi.org/10.1073/pnas.1603792113.

Full text
Abstract:
The demand for a new generation of high-temperature dielectric materials toward capacitive energy storage has been driven by the rise of high-power applications such as electric vehicles, aircraft, and pulsed power systems where the power electronics are exposed to elevated temperatures. Polymer dielectrics are characterized by being lightweight, and their scalability, mechanical flexibility, high dielectric strength, and great reliability, but they are limited to relatively low operating temperatures. The existing polymer nanocomposite-based dielectrics with a limited energy density at high temperatures also present a major barrier to achieving significant reductions in size and weight of energy devices. Here we report the sandwich structures as an efficient route to high-temperature dielectric polymer nanocomposites that simultaneously possess high dielectric constant and low dielectric loss. In contrast to the conventional single-layer configuration, the rationally designed sandwich-structured polymer nanocomposites are capable of integrating the complementary properties of spatially organized multicomponents in a synergistic fashion to raise dielectric constant, and subsequently greatly improve discharged energy densities while retaining low loss and high charge–discharge efficiency at elevated temperatures. At 150 °C and 200 MV m−1, an operating condition toward electric vehicle applications, the sandwich-structured polymer nanocomposites outperform the state-of-the-art polymer-based dielectrics in terms of energy density, power density, charge–discharge efficiency, and cyclability. The excellent dielectric and capacitive properties of the polymer nanocomposites may pave a way for widespread applications in modern electronics and power modules where harsh operating conditions are present.
APA, Harvard, Vancouver, ISO, and other styles
29

Xie, Junan, Zhennan Zhu, Hong Tao, Shangxiong Zhou, Zhihao Liang, Zhihang Li, Rihui Yao, Yiping Wang, Honglong Ning, and Junbiao Peng. "Research Progress of High Dielectric Constant Zirconia-Based Materials for Gate Dielectric Application." Coatings 10, no. 7 (July 20, 2020): 698. http://dx.doi.org/10.3390/coatings10070698.

Full text
Abstract:
The high dielectric constant ZrO2, as one of the most promising gate dielectric materials for next generation semiconductor device, is expected to be introduced as a new high k dielectric layer to replace the traditional SiO2 gate dielectric. The electrical properties of ZrO2 films prepared by various deposition methods and the main methods to improve their electrical properties are introduced, including doping of nonmetal elements, metal doping design of pseudo-binary alloy system, new stacking structure, coupling with organic materials and utilization of crystalline ZrO2 as well as optimization of low-temperature solution process. The applications of ZrO2 and its composite thin film materials in metal oxide semiconductor field effect transistor (MOSFET) and thin film transistors (TFTs) with low power consumption and high performance are prospected.
APA, Harvard, Vancouver, ISO, and other styles
30

Siddiqui, Amna, Rabia Yasmin Khosa, and Muhammad Usman. "High-k dielectrics for 4H-silicon carbide: present status and future perspectives." Journal of Materials Chemistry C 9, no. 15 (2021): 5055–81. http://dx.doi.org/10.1039/d0tc05008c.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Osada, Minoru, and Takayoshi Sasaki. "High-Temperature Dielectric Materials from Atomically-Thin Perovskites." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2016, CICMT (May 1, 2016): 000164–68. http://dx.doi.org/10.4071/2016cicmt-wp42.

Full text
Abstract:
Abstract The search of new electronic materials for high-temperature applications has been a significant challenge in recent years. In automotive industries, for example, cutting-edge technology requires electronic components operable at high temperatures (> 200 °C). The absence of suitable capacitors is one of the major barriers to meet this goal. Here we provide a solution to these issues by using an atomically-thin perovskite nanosheet (Ca2Nb3O10), a two-dimensional material derived from the exfoliation of a layered compound. Through in-situ characterizations, we found a robust thermal stability of Ca2Nb3O10 nanosheet even in a monolayer form (~ 2 nm). Furthermore, layer-by-layer assembled nanocapacitors retained both size-free high-εr characteristic and high insulation resistance at high temperatures up to 250 °C. The simultaneous improvement of εr and thermal stability in high-k nanodielectrics is of critical technological importance for the use of high-temperature capacitors.
APA, Harvard, Vancouver, ISO, and other styles
32

Komatsu, Shuichi, and Hisashi Ito. "Thin Film Capacitor Using High Dielectric Constant Materials." Journal of SHM 9, no. 1 (1993): 10–16. http://dx.doi.org/10.5104/jiep1993.9.10.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Banks, H. T., and Gabriella A. Pinter. "High-frequency pulse propagation in nonlinear dielectric materials." Nonlinear Analysis: Real World Applications 5, no. 4 (September 2004): 597–612. http://dx.doi.org/10.1016/j.nonrwa.2003.10.002.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Walpita, L. M., and M. R. Ahern. "Temperature compensated high-dielectric-constant thermoplastic microwave materials." IEE Proceedings - Microwaves, Antennas and Propagation 147, no. 4 (2000): 285. http://dx.doi.org/10.1049/ip-map:20000568.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Torquato, S., A. Donev, A. G. Evans, and C. J. Brinker. "Manufacturable extremal low-dielectric, high-stiffness porous materials." Journal of Applied Physics 97, no. 12 (June 15, 2005): 124103. http://dx.doi.org/10.1063/1.1929854.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Sarasqueta, Galileo, Kaushik Roy Choudhury, Do Young Kim, and Franky So. "Organic/inorganic nanocomposites for high-dielectric-constant materials." Applied Physics Letters 93, no. 12 (September 22, 2008): 123305. http://dx.doi.org/10.1063/1.2963193.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Li, Qi, Lei Chen, Matthew R. Gadinski, Shihai Zhang, Guangzu Zhang, Haoyu U. Li, Elissei Iagodkine, et al. "Flexible high-temperature dielectric materials from polymer nanocomposites." Nature 523, no. 7562 (July 29, 2015): 576–79. http://dx.doi.org/10.1038/nature14647.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Pelrine, R., R. Kornbluh, and G. Kofod. "High-Strain Actuator Materials Based on Dielectric Elastomers." Advanced Materials 12, no. 16 (August 2000): 1223–25. http://dx.doi.org/10.1002/1521-4095(200008)12:16<1223::aid-adma1223>3.0.co;2-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Golla, Brahma Raju, Mahesh Tummala, P. S. Akhil, and A. R. James. "Novel high‐density polyethylene‐niobium pentoxide dielectric materials." Polymer Composites 40, no. 2 (January 12, 2018): 749–57. http://dx.doi.org/10.1002/pc.24732.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Brebels, Jeroen, Jean V. Manca, Laurence Lutsen, Dirk Vanderzande, and Wouter Maes. "High dielectric constant conjugated materials for organic photovoltaics." Journal of Materials Chemistry A 5, no. 46 (2017): 24037–50. http://dx.doi.org/10.1039/c7ta06808e.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Das-Gupta, D. K., and K. Doughty. "Polymer-ceramic composite materials with high dielectric constants." Thin Solid Films 158, no. 1 (March 1988): 93–105. http://dx.doi.org/10.1016/0040-6090(88)90306-9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Gao, H.-J., B. Rafferty, C. L. Chen, R. K. Singh, and S. J. Pennycook. "Misfit Dislocation Core Structures At Ba0.5 Sr0.5TiO3 /LaAlO3 Interfaces." Microscopy and Microanalysis 5, S2 (August 1999): 612–13. http://dx.doi.org/10.1017/s143192760001638x.

Full text
Abstract:
The trend of replacing trench and stack capacitors in a dynamic random access memory (DRAM) with a planar configuration has stimulated the development of high dielectric constant materials with reliably low leakage current and high dielectric breakdown strength. In this regard, high dielectric constant materials, such as PbZrxTiyO3(PZT), BaTiO3, SrTiO3, PbTiO3, and BaxSr1-xTiO3, have been extensively investigated as dielectrics in the last few decades. Of these, the sol id-solution quaternary BaxSr1-xTiO3, (BST) combines the high dielectric constant of BaTiO3, with the structural stability of SrTiO3, is one of the most promising materials for DRAM cells in very large-scale integrated circuits. BST shows a paraelectric phase for x<0.7 at room temperature, which provides additional features such as no aging or fatigue effects from ferroelectric domain switching. However, so far, there have been few studies of the interfaces between BST and the substrates, particularly at the atomic-resolution level.
APA, Harvard, Vancouver, ISO, and other styles
43

Tang, Yadong, Wenhan Xu, Sen Niu, Zhicheng Zhang, Yunhe Zhang, and Zhenhua Jiang. "Crosslinked dielectric materials for high-temperature capacitive energy storage." Journal of Materials Chemistry A 9, no. 16 (2021): 10000–10011. http://dx.doi.org/10.1039/d1ta00288k.

Full text
Abstract:
This review provides an overview of currently available crosslinked dielectric materials, describing their advantages and potential, together with some of the fundamental and technical issues to be addressed.
APA, Harvard, Vancouver, ISO, and other styles
44

Pietrikova, Alena, Kornel Ruman, Tibor Rovensky, and Igor Vehec. "Impact analysis of LTCC materials on microstrip filters’ behaviour up to 13 GHz." Microelectronics International 32, no. 3 (August 3, 2015): 122–25. http://dx.doi.org/10.1108/mi-01-2015-0003.

Full text
Abstract:
Purpose – The purpose of this paper is to consider the adequacy of various microstrip filters’ behaviour based on different low-temperature co-fired ceramic (LTCC) dielectrics in the high frequency (HF) area up to 13 GHz. Design/methodology/approach – Low pass, band pass and band stop filters for ultra-wideband radar systems were designed, simulated, fabricated and measured using three various dielectric substrates: Dupont GreenTape 951, Dupont GreenTape 9K7 and Murata LFC. Findings – It is not possible to unambiguously determine the most suitable LTCC dielectric for these filter design because, in general, all designed filters fulfilled requirements (attenuation, cut off frequencies) with minimal divergences, but temperature-stable dielectric and physical properties of Murata LFC make them a promising ceramic for HF application (repeatability of realised experiments). Originality/value – The novelty of this work lies in unconventional usage of LTCC as material with defined dielectric properties proper for HF applications.
APA, Harvard, Vancouver, ISO, and other styles
45

Liao, Xiaojian, Yichun Ding, Linlin Chen, Wan Ye, Jian Zhu, Hong Fang, and Haoqing Hou. "Polyacrylonitrile-derived polyconjugated ladder structures for high performance all-organic dielectric materials." Chemical Communications 51, no. 50 (2015): 10127–30. http://dx.doi.org/10.1039/c5cc03137k.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Rollo, Serena, Dipti Rani, Wouter Olthuis, and César Pascual García. "High performance Fin-FET electrochemical sensor with high-k dielectric materials." Sensors and Actuators B: Chemical 303 (January 2020): 127215. http://dx.doi.org/10.1016/j.snb.2019.127215.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Li, Yushu, Yao Zhou, Sang Cheng, Jun Hu, Jinliang He, and Qi Li. "Polymer Nanocomposites with High Energy Density Utilizing Oriented Nanosheets and High-Dielectric-Constant Nanoparticles." Materials 14, no. 17 (August 24, 2021): 4780. http://dx.doi.org/10.3390/ma14174780.

Full text
Abstract:
The development of high-energy-density electrostatic capacitors is critical to addressing the growing electricity need. Currently, the widely studied dielectric materials are polymer nanocomposites incorporated with high-dielectric-constant nanoparticles. However, the introduction of high-dielectric-constant nanoparticles can cause local electric field distortion and high leakage current, which limits the improvement in energy density. In this work, on the basis of conventional polymer nanocomposites containing high-dielectric-constant nanoparticles, oriented boron nitride nanosheets (BNNSs) are introduced as an extra filler phase. By changing the volume ratios of barium titanate (BT) and BNNSs, the dielectric property of polymer nanocomposites is adjusted, and thus the capacitive energy storage performance is optimized. Experimental results prove that the oriented BNNSs can suppress the propagation of charge carriers and decrease the conduction loss. Using poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) as the polymer matrix, the P(VDF-HFP)/BNNS/BT nanocomposite has a higher discharged energy density compared with the conventional nanocomposite with the freely dispersed BT nanoparticles.
APA, Harvard, Vancouver, ISO, and other styles
48

Hayes, Colin O., Kevin Wang, Rosemary Bell, Colin Calabrese, Jeff Kong, Jennie Paik, Lingyun Wei, Kirk Thompson, Michael Gallagher, and Robert K. Barr. "Low Loss Photodielectric Materials for 5G HS/HF Applications." International Symposium on Microelectronics 2019, no. 1 (October 1, 2019): 000037–41. http://dx.doi.org/10.4071/2380-4505-2019.1.000037.

Full text
Abstract:
Abstract Fifth generation network technology, often referred to as 5G, holds great potential for higher communication speeds, higher data transmission rates and improved connectivity, however, current dielectric materials lack sufficiently low dielectric loss (Df) at desired form factors for next-generation devices. While photoimageable dielectrics will certainly play a role in 5G manufacturing, many of the chemistries that have evolved and are suitable for photodielectrics (aqueous developed and polar solvent developed materials) have a Df that is too high for a 5G devices. Arylalkyl thermoset polymers (ATPs) have long been known for its low dielectric properties and found use in many high frequency applications, especially GaAs devices. An existing ATP photodielectric, CYCLOTENE™ 4000 Series Dielectric is characterized and compared to a newly designed experimental platform herein called 5G-XP-1. The platform developed utilizes new monomer and polymer chemistry to deliver a system capable of low temperature cure within 1 hour between 170–200°C, self-priming adhesion on silicon, copper, silicon nitride and polyimide and low Df at high frequency in a full formulation (&lt;0.005 20–40GHz). 5G-XP-1 is deposited as a spin on photodielectric material but is still capable of achieving a variety of final film thicknesses from 15–25 μm. More importantly the formulation can achieve high aspect ratio imaging with 1:1 AR vias using an i-Line Karl Süss Mask Aligner. Moreover, this photodielectric material can be developed using environmentally-friendly solvents, such as esters like propylene glycol monomethyl ether acetate (PGMEA). The new experimental material 5G-XP-1 spin on photodielectric material demonstrates considerable promise for next-generation 5G devices, with future improvements on mechanical properties already in progress.
APA, Harvard, Vancouver, ISO, and other styles
49

Chen, Liang-Yu. "Electrical Performance of Cofired Alumina Substrates at High Temperatures." Journal of Microelectronics and Electronic Packaging 10, no. 3 (July 1, 2013): 89–94. http://dx.doi.org/10.4071/imaps.375.

Full text
Abstract:
A 96% polycrystalline alumina (Al2O3) based prototype packaging system with Au thick-film metallization successfully facilitated long term testing of high temperature SiC electronic devices for over 10,000 h at 500°C previously. However, the 96% Al2O3 chip-level packages of this prototype system were not fabricated via a commercial cofire process, which would be more suitable for large scale commercial production. The cofired alumina materials adopted by the packaging industry today usually contain several percent of glass constituents to allow cofiring processes at temperatures usually lower than the regular sintering temperature for alumina. In order to answer the question of whether cofired alumina substrates can provide a reasonable high temperature electrical performance comparable to regular 96% alumina sintered at 1700°C, this paper reports on the dielectric performance of a selected high temperature cofired ceramic (HTCC) alumina substrate and a low temperature cofired ceramic (LTCC) alumina (polycrystalline aluminum oxides with glass constituents) substrate from room temperature to 550°C at frequencies of 120 Hz, 1 KHz, 10 KHz, 100 KHz, and 1 MHz. Parallel-plate capacitive devices with dielectrics of these cofired alumina and precious metal electrodes were used for measurement of the dielectric properties of the cofired alumina materials in the temperature and frequency ranges. The capacitance and AC parallel conductance of these capacitive devices were directly measured by an AC impedance meter, and the dielectric constant and parallel AC conductivity of the dielectric were calculated from the capacitance and conductance measurement results. The temperature and frequency dependent dielectric constant, AC conductivity, and dissipation factor of selected LTCC and HTCC cofired alumina substrates are presented and compared with those of 96% alumina. Metallization schemes for cofired alumina for high temperature applications are discussed to address the packaging needs for low-power 500°C SiC electronics.
APA, Harvard, Vancouver, ISO, and other styles
50

Burke, Andrew. "Prospects for the Development of High Energy Density Dielectric Capacitors." Applied Sciences 11, no. 17 (August 31, 2021): 8063. http://dx.doi.org/10.3390/app11178063.

Full text
Abstract:
In this paper, the design of high energy density dielectric capacitors for energy storage in vehicle, industrial, and electric utility applications have been considered in detail. The performance of these devices depends primarily on the dielectric constant and breakdown strength characteristics of the dielectric material used. A review of the literature on composite polymer materials to assess their present dielectric constants and the various approaches being pursued to increase energy density found that there are many papers in which materials having dielectric constants of 20–50 were reported, but only a few showing materials with very high dielectric constants of 500 and greater. The very high dielectric constants were usually achieved with nanoscale metallic or carbon particles embedded in a host polymer and the maximum dielectric constant occurred near the percolation threshold particle loading. In this study, an analytical method to calculate the dielectric constant of composite dielectric polymers with various types of nanoparticles embedded is presented. The method was applied using an Excel spreadsheet to calculate the characteristics of spiral wound battery cells using various composite polymers with embedded particles. The calculated energy densities were strong functions of the size of the particles and thickness of the dielectric layer in the cell. For a 1000 V cell, an energy density of 100–200 Wh/kg was calculated for 3–5 nm particles and 3–5 µ thick dielectric layers. The results of this study indicate that dielectric materials with an effective dielectric constant of 500–1000 are needed to develop dielectric capacitor cells with battery-like energy density. The breakdown strength would be 300–400 V/µ in a reverse sandwich multilayer dielectric arrangement. The leakage current of the cell would be determined from appropriate DC testing. These high energy density dielectric capacitors are very different from electrochemical capacitors that utilize conducting polymers and liquid electrolytes and are constructed much like batteries. The dielectric capacitors have a very high cell voltage and are constructed like conventional ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography