Academic literature on the topic 'High dielectric materials'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'High dielectric materials.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "High dielectric materials"

1

Lu, Feng Ming, Jiang Shao, Xiao Yu Liu, and Xing Hao Wang. "Research on TDDB Effect in High-k Materials." Advanced Materials Research 548 (July 2012): 203–8. http://dx.doi.org/10.4028/www.scientific.net/amr.548.203.

Full text
Abstract:
With continual scaling of ICs, the thickness of gate oxide becomes thinner and thinner which affects the reliability of semiconductor device greatly. The mechanism of time-dependent dielectric breakdown (TDDB) was analyzed. Six mathematical models of TDDB which were divided according to the position of defects and the physical property of charged particles were discussed. Then the dielectric breakdown characteristic of high k dielectrics and the relationships between the breakdown electric field, field acceleration parameter and dielectric constant were analyzed in detail. Finally, the relationships and mathematical models were verified by experimental data which provided theoretical basis for the choosing and use of high k materials.
APA, Harvard, Vancouver, ISO, and other styles
2

Susarla, Sandhya, Thierry Tsafack, Peter Samora Owuor, Anand B. Puthirath, Jordan A. Hachtel, Ganguli Babu, Amey Apte, et al. "High-K dielectric sulfur-selenium alloys." Science Advances 5, no. 5 (May 2019): eaau9785. http://dx.doi.org/10.1126/sciadv.aau9785.

Full text
Abstract:
Upcoming advancements in flexible technology require mechanically compliant dielectric materials. Current dielectrics have either high dielectric constant, K (e.g., metal oxides) or good flexibility (e.g., polymers). Here, we achieve a golden mean of these properties and obtain a lightweight, viscoelastic, high-K dielectric material by combining two nonpolar, brittle constituents, namely, sulfur (S) and selenium (Se). This S-Se alloy retains polymer-like mechanical flexibility along with a dielectric strength (40 kV/mm) and a high dielectric constant (K = 74 at 1 MHz) similar to those of established metal oxides. Our theoretical model suggests that the principal reason is the strong dipole moment generated due to the unique structural orientation between S and Se atoms. The S-Se alloys can bridge the chasm between mechanically soft and high-K dielectric materials toward several flexible device applications.
APA, Harvard, Vancouver, ISO, and other styles
3

Lo, Wai, Arvind Kamath, Shreyas Kher, Craig Metzner, Jianguo Wen, and Zhihao Chen. "Deposition and characterization of HfO2 high k dielectric films." Journal of Materials Research 19, no. 6 (June 2004): 1775–82. http://dx.doi.org/10.1557/jmr.2004.0247.

Full text
Abstract:
As the scaling of complementary metal-oxide-semiconductor (CMOS) transistors proceeds, the thickness of the SiO2 gate dielectrics shrinks rapidly and results in higher gate leakage currents. High k dielectric materials are acknowledged to be the possible solutions to this challenge, as their higher k values (e.g., 15–50) raise the physical thickness of the dielectrics that provide similar equivalent thickness of a thinner SiO2 film. In order for the high k materials to be applicable in CMOS devices, there should exist deposition technologies that can deposit highly uniform films over Si wafers with diameters as large as 200 mm. This report discusses the deposition process and the correlation between the growth conditions, structural and dielectric properties of HfO2, which is one of the most promising high k dielectric materials. Judging from the thickness uniformity, surface roughness, k value, and interfacial density of state of the HfO2 films, the metalorganic chemical vapor deposition technique was identified to be suitable for growing HfO2 films targeted at applications as CMOS gate dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
4

BERSUKER, GENNADI, BYOUNG HUN LEE, and HOWARD R. HUFF. "Novel Dielectric Materials for Future Transistor Generations." International Journal of High Speed Electronics and Systems 16, no. 01 (March 2006): 221–39. http://dx.doi.org/10.1142/s012915640600362x.

Full text
Abstract:
Relations between the electronic properties of high-k materials and electrical characteristics of high-k transistor are discussed. It is pointed out that the intrinsic limitations of these materials from the standpoint of gate dielectric applications are related to the presence of d-electrons, which facilitate high values of the dielectric constant. It is shown that the presence of structural defects responsible for electron trapping and fixed charges, and the dielectrics' tendency for crystallization and phase separation induce threshold voltage instability and mobility degradation in high-k transistors. The quality of the SiO 2-like layer at the high-k/ Si substrate interface, as well as dielectric interaction with the gate electrode, may significantly affect device characteristics.
APA, Harvard, Vancouver, ISO, and other styles
5

Zhang, Lei, Jiale Mao, Shuang Wang, Yiting Zheng, Xiangdong Liu, and Yonghong Cheng. "Benzoxazine Based High Performance Materials with Low Dielectric Constant: A Review." Current Organic Chemistry 23, no. 7 (July 16, 2019): 809–22. http://dx.doi.org/10.2174/1385272823666190422130917.

Full text
Abstract:
Interlayer dielectrics with low dielectric constant are the key to unlock the high arithmetic speed of integrated circuit, one of the kernels of modern industry. Polybenzoxazine, derived from benzoxazine precursor, is a new generation of phenolic resin that is considered as an ideal potential candidate for electronic materials due to its unique properties. However, for developing higher speed supercomputers, the dielectric property of conventional polybenzoxazine becomes the Achilles’ heel. Fortunately, the versatile design flexibility of benzoxazine chemistry provides the possibility to reduce the dielectric constant of the material. This review focuses on the recent attempts to synthesize low dielectric benzoxazine and the properties of the corresponding polybenzoxazine materials. Methods including fluorination, hydrocarbon introduction, heterocycle generation, molecular weight tailoring, copolymerization and organic-inorganic hybridization are introduced.
APA, Harvard, Vancouver, ISO, and other styles
6

Yan, X. Z., and T. Goodson. "High Dielectric Hyperbranched Polyaniline Materials." Journal of Physical Chemistry B 110, no. 30 (August 2006): 14667–72. http://dx.doi.org/10.1021/jp061522p.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Fukunaga, K. "Dielectric materials at high frequencies." IEEE Transactions on Dielectrics and Electrical Insulation 13, no. 4 (August 2006): 687. http://dx.doi.org/10.1109/tdei.2006.1667725.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Ling, H. C., M. F. Yan, and W. W. Rhodes. "High dielectric constant and small temperature coefficient bismuth-based dielectric compositions." Journal of Materials Research 5, no. 8 (August 1990): 1752–62. http://dx.doi.org/10.1557/jmr.1990.1752.

Full text
Abstract:
We have studied the crystal structure and the dielectric properties of a scries of Bi-based ceramic compositions as a function of compositional variation and sintering temperature. These dielectrics have dielectric constants hetween 70 and 165 and their temperature coefficients are within ±500 × 10−6/°C. The precise temperature coefficient can be controlled via compositional changes such that dielectrics with temperature coefficients within ±50 × 10−6/°C are easily obtainable. The room temperature dissipation factor is smaller than 0.001 or equivalently, the Q value is greater than 1000. The electrical resistivity is greater than 1014 ohm-cm. Furthermore, these dielectrics are sinterable below 960 °C, rendering it possible to use silver or high silver metallization as the internal electrode in making the multilayer ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
9

Zhao, Cuijiao, Xiaonan Wei, Yawen Huang, Jiajun Ma, Ke Cao, Guanjun Chang, and Junxiao Yang. "Preparation and unique dielectric properties of nanoporous materials with well-controlled closed-nanopores." Physical Chemistry Chemical Physics 18, no. 28 (2016): 19183–93. http://dx.doi.org/10.1039/c6cp00465b.

Full text
Abstract:
Although general porous materials have a low dielectric constant, their uncontrollable opened porous structure results in high dielectric loss and poor barrier properties, thus limiting their application as interconnect dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
10

You, Yong, Chenhao Zhan, Ling Tu, Yajie Wang, Weibin Hu, Renbo Wei, and Xiaobo Liu. "Polyarylene Ether Nitrile-Based High-k Composites for Dielectric Applications." International Journal of Polymer Science 2018 (July 10, 2018): 1–15. http://dx.doi.org/10.1155/2018/5161908.

Full text
Abstract:
Flexible polymer-based composites exhibiting high dielectric constant as well as low dielectric loss have been intensively investigated for their potential utilization in electronics and electricity industry and energy storage. Resulting from the polar -CN on the side chain, polyarylene ether nitrile (PEN) shows relatively high dielectric constant which has been extensively investigated as one of the hot spots as dielectric materials. However, the dielectric constant of PEN is still much lower than the ceramic dielectrics such as BaTiO3, TiO2, and Al2O3. In this review, recent and in-progress advancements in the designing and preparing strategies to obtain high-k PEN-based nanocomposites are summarized. According to the types of the added fillers, the effects of organic fillers, dielectric ceramic fillers, and conductive fillers on electric properties of PEN-based composites are investigated. In addition, other factors including the structures and sizes of the additive, the compatibility between the additive agent and the PEN, and the interface which affects the dielectric properties of the obtained composite materials are investigated. Finally, challenges facing in the design of more effective strategies for the high-k PEN-based dielectric materials are discussed.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "High dielectric materials"

1

Pliakostathis, Konstantinos. "Novel dielectric resonator antennas based on high permettivity dielectric materials." Thesis, University of Essex, 2004. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.410507.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Yu, Chuying. "Dielectric materials for high power energy storage." Thesis, Queen Mary, University of London, 2017. http://qmro.qmul.ac.uk/xmlui/handle/123456789/24852.

Full text
Abstract:
Energy storage is currently gaining considerable attention due to the current energy crisis and severe air pollution. The development of new and clean forms of energy and related storing devices is in high demanded. Dielectric capacitors, exhibiting high power density, long life and cycling life, are potential candidates for portable devices, transport vehicles and stationary energy resources applications. However, the energy density of dielectric capacitors is relatively low compared to that of traditional batteries, which inhibits their future development. In the current work, three types of dielectrics, namely antiferroelectric samarium-doped BiFeO3 (Bi1-xSmxFeO3), linear dielectric (potential antiferroelectric) BiNbO4 and incipient ferroelectric TiO2, have been investigated to develop their potential as energy storage capacitors. For the samarium-doped BiFeO3 (Bi1-xSmxFeO3) system, the effect of samarium content in the A-site (x=0.15, 0.16, 0.165 and 0.18) on the structural phase transitions and electrical properties across the Morphotropic Phase Boundary (MPB) were studied. A complex coexistence of rhombohedral R3c, orthorhombic Pbam and orthorhombic Pnma was found in the selected compositions. The R3c phase is the structure of pure BiFeO3, the Pbam phase has a PbZrO3-like antiferroelectric structure and the Pnma phase has a SmFeO3-like paraelectric structure. The presence of the PbZrO3-like antiferroelectric structure was confirmed by the observation of the 14{110}, 14{001}, 12{011} and 12{111} superlattice reflections in the transmission electron microscopy diffraction patterns. The weight fractions of the three phases varied with different calcination conditions and Sm substitution level. By increasing the calcination temperature, the weight fractions of the Pbam increased, while that of the R3c decreased. The fraction of the Pnma phase is mainly derived by the Sm concentration and is barely affected by the calcination temperature. The increase of Sm concentration, determined an increase of the weight fraction of the Pnma phase and a decrease of the Pbam and the R3c phases. Temperature dependent dielectric measurements and high temperature XRD of Bi0.85Sm0.15FeO3 revealed several phase transitions. The drastic weight fraction change between the Pbam and the Pnma phase around 200 °C is assumed as the Curie transition of the antiferroelectric Pbam phase. The transition at 575 °C is related to the diminishing of the R3c phase and is suggested as the Curie transition of the ferroelectric R3c phase. The Curie point of the antiferroelectric Pbam phase and the ferroelectric R3c phase in the Bi1-xSmxFeO3 ceramics shifted towards lower temperature with an increase of the Sm concentration. Current peaks were obtained in current-electric field loops in Bi0.85Sm0.15FeO3, which are correlated to domain switching in the R3c phase. The ferroelectric behavior was suppressed in Bi1-xSmxFeO3 (x=0.16, 0.165, 0.18), which is due to the gradually diminished contribution from the R3c phase. The system Bi0.82Sm0.18FeO3 showed the highest energy density of 0.64 J cm-3 (error bar ±0.02). For the BiNbO4 system, single phase α-BiNbO4 (space group Pnna) and β-BiNbO4 (space group P-1) powder and ceramics were produced. The longstanding issue related to the sequence of the temperature-induced phase transitions has been clarified. It is demonstrated that the β phase powder could be converted back to the  phase when annealed in the temperature range 800 °C -1000 °C with certain incubation time. The β to  phase transition is a slow kinetic process because sufficient temperature and time are required for the transition. In bulk ceramics with β phase, this transformation is impeded by inner stress, while it is favored by graphite-induced reducing atmosphere. A high temperature  phase has been revealed and the structure has been resolved. The structure of the  phase is monoclinic with a space group of P21/c. The lattice parameters are: a = 7.7951(1) Å, b = 5.64993(9) Å, c = 7.9048(1) Å,  = 104.691(2) Z=4. The volume is 336.76 (2) Å3. The calculated density is 7.217 g cm-3. The phase relationships among ,  and  phases have been clarified. It was found that the  phase (for both powder and ceramic) transforms into the  phase at 1040 °C on heating, and that the  phase always transforms into the  phase at 1000 °C on cooling. Meanwhile, a reversible first-order  to  phase transition is observed at ca. 1000 °C for both powder and ceramic if no incubation is processed on heating. The electric properties of both α- and - BiNbO4 have been investigated. The breakdown field of both ceramics were too low to observe any possible field-induced transition. As a result, linear P-E loops were obtained in each phase. The energy densities of α- and - BiNbO4 ceramics are 0.03 and 0.04 J cm-3 (error bar ±0.001), respectively. For the TiO2 system, ceramics were produced by conventional sintering and spark plasma sintering (SPS). Compared to conventional sintering, SPS technique produced dense ceramics without using sintering aids and avoided abnormal grain growth. Relaxation behavior related to the oxygen hopping among vacant sites is observed in the temperature range of 200 to 600 °C. TiO2 exhibits ultra-low loss at terahertz frequencies due to the reduced contribution of oxygen vacancies relaxation. TiO2 has a high breakdown field, but still has low polarization. The highest energy density obtained inTiO2 ceramics is 0.3 J cm-3 (error bar ±0.01).
APA, Harvard, Vancouver, ISO, and other styles
3

Braganza, Clinton Ignatuis. "High Dielectric Constant Materials Containing Liquid Crystals." Kent State University / OhioLINK, 2009. http://rave.ohiolink.edu/etdc/view?acc_num=kent1248065159.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Tanner, Carey Marie. "Engineering high dielectric constant materials on silicon carbide." Diss., Restricted to subscribing institutions, 2007. http://proquest.umi.com/pqdweb?did=1459913391&sid=1&Fmt=2&clientId=1564&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Lu, Jiongxin. "High dielectric constant polymer nanocomposites for embedded capacitor applications." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/26666.

Full text
Abstract:
Thesis (Ph.D)--Materials Science and Engineering, Georgia Institute of Technology, 2009.
Committee Chair: Wong, C. P.; Committee Member: Jacob, Karl; Committee Member: Liu, M. L.; Committee Member: Tannenbaum, Rina; Committee Member: Wang, Z. L.. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
6

Chen, Minghan. "Optical studies of high temperature superconductors and electronic dielectric materials." [Gainesville, Fla.] : University of Florida, 2005. http://purl.fcla.edu/fcla/etd/UFE0012986.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Christensen, Justin. "Electron Yield Measurements of High-Yield, Low-Conductivity Dielectric Materials." DigitalCommons@USU, 2017. https://digitalcommons.usu.edu/etd/6694.

Full text
Abstract:
Materials exposed to the space plasma environment acquire electric charge, which can have harmful effects if it leads to arcing or electrostatic breakdown of important spacecraft components. In fact, spacecraft charging is the leading environmentally induced cause of spacecraft anomalies. This study focuses on measuring electron yield, a property of materials that describes how many electrons are ejected from a material under energetic electron bombardment, which can vary depending on the energy of incident electrons. Intrinsic electron yield is defined as the average number of electrons emitted per incident electron from an electrically neutral material. The specific aim of this work is to improve yield measurements for insulator materials, which can be difficult to test using conventional methods due to charge accumulation in insulators.Most studies of electron yield use a steady current electron beam in a vacuum chamber to irradiate materials to be tested. By comparing the amount of current deposited in the material to the total incident current, the emitted current can be calculated. This works well for conductors; however, insulators charge up quickly, which either repel incident electrons or reattract emitted electrons producing erroneous yield measurements. This study improves on methods that use a pulsed electron beam to measure yield with small amounts of charge per pulse, as well as neutralization methods to dissipate stored charge between pulse measurements.The improvements to instrumentation and data analysis techniques are quantified to demonstrate their validity. These improvements will allow for continued studies on extreme insulator materials. Future studies will provide new understanding of interactions between electron radiation and materials, which will allow for better modeling of spacecraft charging and the development of materials that meet desired electron emission specifications.
APA, Harvard, Vancouver, ISO, and other styles
8

Sun, Xiao. "Characterization and Fabrication of High k dielectric-High Mobility Channel Transistors." Thesis, Yale University, 2014. http://pqdtopen.proquest.com/#viewpdf?dispub=3578458.

Full text
Abstract:

As the conventional scaling of Si-based MOSFETs would bring negligible or even negative merits for IC's beyond the 7-nm CMOS technology node, many perceive the use of high-mobility channels to be one of the most likely principle changes, in order to achieve higher performance and lower power. However, interface and oxide traps have become a major obstacle for high-mobility semiconductors (such as Ge, InGaAs, GaSb, GaN...) to replace Si CMOS technology.

In this thesis, the distinct properties of the traps in the high-k dielectric/high-mobility substrate system is discussed, as well as the challenges to characterize and passivate them. By modifying certain conventional gate admittance methods, both the fast and slow traps in Ge MOS gate stacks is investigated. In addition, a novel ac-transconductance method originated at Yale is introduced and demonstrated with several advanced transistors provided by collaborating groups, such as ultra-thin-body & box SO1 MOSFETs (CEA-LETI), InGaAs MOSFETs (IMEC, UT Austin, Purdue), and GaN MOS-HEMT (MIT).

By use of the aforementioned characterization techniques, several effective passivation techniques on high mobility substrates (Ge, InGaAs, GaSb, GeSn, etc.) are evaluated, including a novel Ba sub-monolayer passivation of Ge surface. The key factors that need to be considered in passivating high mobility substrates are revealed.

The techniques that we have established for characterizing traps in advanced field-effect transistors, as well as the knowledge gained about these traps by the use of these techniques, have been applied to the study of ionizing radiation effects in high-mobility-channel transistors, because it is very important to understand such effects as these devices are likely to be exposed to radiation-harsh environments, such as in outer space, nuclear plants, and during X-ray or UHV lithography. In this thesis, the total ionizing dose (TD) radiation effects of InGaAs-based MOSFETs and GaN-based MOS-HEMT are studied, and the results help to reveal the underlying mechanisms and inspire ideas for minimizing the TID radiation effects.

APA, Harvard, Vancouver, ISO, and other styles
9

Xu, Jianwen. "Dielectric Nanocomposites for High Performance Embedded Capacitors in Organic Printed Circuit Boards." Diss., Georgia Institute of Technology, 2006. http://hdl.handle.net/1853/11525.

Full text
Abstract:
Conventionally discrete passive components like capacitors, resistors, and inductors are surface-mounted on top of the printed circuit boards (PCBs). To match the ever increasing demands of miniaturization, cost reduction, and high performance in microelectronic industry, a promising approach is to integrate passive components into the board during PCB manufacture. Because they are embedded inside multilayer PCBs, such components are called embedded passives. This work focuses on the materials design, development and processing of polymer-based dielectric nanocomposites for embedded capacitor applications. The methodology of this approach is to combine the advantages of the polymer and the filler to satisfy the electric, dielectric, mechanical, fabrication, and reliability requirements for embedded capacitors. Restrained by poor adhesion and poor thermal stress reliability at high filler loadings, currently polymer-ceramic composites can only achieve a dielectric constant of less than 50. In order to increase the dielectric constant to above 50, effects of high-k polymer matrix, bimodal fillers, and dispersing agent are systematically investigated. Surface functionalization of nanofiller particles and modification of epoxy matrix with a secondary rubberized epoxy to form sea-island structure are proposed to enhance the dielectric constant, adhesion and high-temperature thermal stress reliability of high-k composites. To obtain photodefinable high-k composites, fundamental understanding of the photopolymerization of the novel epoxy-ceramic composite photoresist is addressed. While the properties of high-k composites largely depend on the polymer matrix, the fillers can also drastically affect the material properties. Carbon black- and carbon nanotubes-filled ultrahigh-k polymer composites are investigated as the candidate materials for embedded capacitors. Dielectric composites based on percolation typically show a high dielectric constant, and a high dielectric loss which is not desirable for high frequency applications. To achieve a reproducible low-loss percolative composite, a novel low-cost core-shell particle filled high-k percolative composite is developed. The nanoscale insulating shells allow the electrons in the metallic core to tunnel through it, and thereby the composites exhibit a high dielectric constant as a percolation system; on the other hand, the insulating oxide layer restricts the electron transfer between filler particles, thus leading to a low loss as in a polymer-ceramic system.
APA, Harvard, Vancouver, ISO, and other styles
10

Rao, Yang. "High dielectric constant materials development and electrical simulation of embedded capacitors." Diss., Georgia Institute of Technology, 2001. http://hdl.handle.net/1853/20014.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "High dielectric materials"

1

Huff, H. R., and D. C. Gilmer, eds. High Dielectric Constant Materials. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/b137574.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kar, Samares. High Permittivity Gate Dielectric Materials. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Kar, Samares, ed. High Permittivity Gate Dielectric Materials. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-36535-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

S, Rathore Hazara, and Electrochemical Society. Dielectric Science and Technology Division., eds. Proceedings of the Second International Symposium on Low and High Dielectric Constant Materials: Materials Science, Processing, and Reliability Issues. Pennington, NJ: Electrochemical Society, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

International Symposium on High Dielectric Constant Materials: Materials Science, Processing, Reliability, and Manufacturing Issues (1st 2003 Salt Lake City, Utah). Physics and technology of high-k gate dielectrics I : proceedings of the International Symposium on High Dielectric Constant Materials : Materials Science, Processing, Reliability, and Manufacturing Issues, held in Salt Lake City, Utah, October 20-24, 2002. Edited by Kar S. 1942-, Electrochemical Society. Dielectric Science and Technology Division., and Electrochemical Society Electronics Division. Pennington, NJ: Electrochemical Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Symposium on High Strain Piezoelectric Materials, Devices, and Applications. Ceramic materials and multilayer electronic devices: Proceedings of the High Strain Piezoelectric Materials, Devices, and Applications ; and Advanced Dielectric Materials and Multilayer Electronic Devices Symposia : held at the 105th Annual Meeting of the American Ceramic Society : April 27-30, 2003 in Nashville, Tennessee. Westerville, OH: American Ceramic Society, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

name, No. Morophotropic phase boundary perovskites, high strain piezoelectrics, and dielectric ceramics: Proceedings of the dielectric materials and multilayer electronic devices symposium and the morphotropic phase boundary phenomena and perovskite materials symposium held at the 104th annual meeting of the American Ceramic Society, April 28-May 1, 2002 in St. Louis, Missouri and the high strain piezoelectrics symposium held at the 103rd annual meeting of the the American Ceramic Society, April 22-25 2001 in Indianapolis, Indiana. Westerville, OH: American Ceramic Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

High Permittivity Gate Dielectric Materials. Springer-Verlag Berlin and Heidelberg GmbH &, 2013.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kar, Samares. High Permittivity Gate Dielectric Materials. Springer, 2016.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

High-K Gate Dielectric Materials. Taylor & Francis Group, 2020.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "High dielectric materials"

1

Diebold, A. C., and W. W. Chism. "Characterization and Metrology of Medium Dielectric Constant Gate Dielectric Films." In High Dielectric Constant Materials, 483–520. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_16.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Parsons, G. N. "Designing Interface Composition and Structure in High Dielectric Constant Gate Stacks." In High Dielectric Constant Materials, 287–310. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_10.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Misra, V. "Issues in Metal Gate Electrode Selection for Bulk CMOS Devices." In High Dielectric Constant Materials, 415–34. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_14.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Colombo, L., A. L. P. Rotondaro, M. R. Visokay, and J. J. Chambers. "CMOS IC Fabrication Issues for High-k Gate Dielectric and Alternate Electrode Materials." In High Dielectric Constant Materials, 435–81. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Kooi†, E., and A. Schmitz. "Brief Notes on the History of Gate Dielectrics in MOS Devices." In High Dielectric Constant Materials, 33–44. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Droopad, R., K. Eisenbeiser, and A. A. Demkov. "High-k Crystalline Gate Dielectrics: An IC Manufacturer's Perspective." In High Dielectric Constant Materials, 639–66. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_20.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Bokor, J., T. J. King, J. Hergenrother, J. Bude, D. Muller, T. Skotnicki, S. Monfray, and G. Timp. "Advanced MOS-Devices." In High Dielectric Constant Materials, 667–705. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_21.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Skotnicki, T., and F. Boeuf. "Optimal Scaling Methodologies and Transistor Performance." In High Dielectric Constant Materials, 143–94. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Tseng, H. H. "Silicon Oxynitride Gate Dielectric for Reducing Gate Leakage and Boron Penetration Prior to High-k Gate Dielectric Implementation." In High Dielectric Constant Materials, 195–220. Berlin, Heidelberg: Springer Berlin Heidelberg, 2005. http://dx.doi.org/10.1007/3-540-26462-0_7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Nishiyama, Akira. "Hafnium-Based Gate Dielectric Materials." In High Permittivity Gate Dielectric Materials, 153–81. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-36535-5_3.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "High dielectric materials"

1

Li, Shengtao, and Yang Feng. "High Dielectric and Energy Storage Polymer Dielectrics." In 2021 IEEE International Conference on the Properties and Applications of Dielectric Materials (ICPADM). IEEE, 2021. http://dx.doi.org/10.1109/icpadm49635.2021.9493998.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Yeh, K.-L., T. Hornung, J. Vaughan, and K. A. Nelson. "Terahertz amplification in high-dielectric materials." In International Conference on Ultrafast Phenomena. Washington, D.C.: OSA, 2006. http://dx.doi.org/10.1364/up.2006.thd23.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Randall, Clive A., Hideki Ogihara, Jeong-Ryeol Kim, Gai-Ying Yang, Craig S. Stringer, Susan Trolier-McKinstry, and Mike Lanagan. "High temperature and high energy density dielectric materials." In 2009 IEEE Pulsed Power Conference (PPC). IEEE, 2009. http://dx.doi.org/10.1109/ppc.2009.5386292.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Xing, Zhaoliang, Chong Zhang, Li Yin, Pengxin Li, Daomin Min, and Jiucheng Wang. "Various Dielectric Relaxation and Polarization in Epoxy Resin Dielectric Materials." In 2020 IEEE International Conference on High Voltage Engineering and Application (ICHVE). IEEE, 2020. http://dx.doi.org/10.1109/ichve49031.2020.9279564.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Carpi, Federico, Alberto Mazzoldi, and Danilo De Rossi. "High-strain dielectric elastomer for actuation." In Smart Structures and Materials, edited by Yoseph Bar-Cohen. SPIE, 2003. http://dx.doi.org/10.1117/12.484315.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Kleppe, Nathan, Mark A. Nurge, and Nicola Bowler. "Dielectric characterization of high-performance spaceflight materials." In 41ST ANNUAL REVIEW OF PROGRESS IN QUANTITATIVE NONDESTRUCTIVE EVALUATION: Volume 34. AIP Publishing LLC, 2015. http://dx.doi.org/10.1063/1.4914640.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Rangel, Elizete G. Lopes, Jose O. Rossi, Joaquim J. Barroso, L. P. Silva Neto, and Edl Schamiloglu. "Dielectric and Magnetic Nonlinear Materials for NLTLs." In 2018 IEEE International Power Modulator and High Voltage Conference (IPMHVC). IEEE, 2018. http://dx.doi.org/10.1109/ipmhvc.2018.8936831.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Shcheblanov, Nikita S., Thibault J. Y. Derrien, and Tatiana E. Itina. "Femtosecond laser interactions with semiconductor and dielectric materials." In INTERNATIONAL SYMPOSIUM ON HIGH POWER LASER ABLATION 2012. American Institute of Physics, 2012. http://dx.doi.org/10.1063/1.4739862.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Byskov-Nielsen, J., B. H. Christensen, D. Q. S. Le, M. N. Christensen, P. Balling, and Claude Phipps. "Fundamentals of femtosecond laser ablation of dielectric materials." In INTERNATIONAL SYMPOSIUM ON HIGH POWER LASER ABLATION 2010. AIP, 2010. http://dx.doi.org/10.1063/1.3507159.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Kakemoto, Hirofumi, Song-Min Nam, Satoshi Wada, and Takaaki Tsurumi. "High frequency dielectric mapping using un-contact probe for dielectric materials." In 2005 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2005. http://dx.doi.org/10.7567/ssdm.2005.p2-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "High dielectric materials"

1

Banks, H. T., and Gabriella A. Pinter. High Frequency Pulse Propagation in Nonlinear Dielectric Materials. Fort Belvoir, VA: Defense Technical Information Center, November 2003. http://dx.doi.org/10.21236/ada446718.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Yee, J. H., D. J. Mayhall, and M. F. Bland. Theoretical Model for the EM Effects Induced by High-Energy Photons (Gamma, X-ray) in Dielectric Materials and Electronic Systems. Office of Scientific and Technical Information (OSTI), August 2001. http://dx.doi.org/10.2172/15004648.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography