Academic literature on the topic 'Gravure par couche atomique'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Gravure par couche atomique.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Gravure par couche atomique"

1

Constantin, D., C. Petit-Etienne, and A. Bsiesy. "Influence des paramètres puissance source et bias sur la gravure ICP-RIE plasma d’une couche mince suivie par interférométrie LASER." J3eA 21 (2022): 1003. http://dx.doi.org/10.1051/j3ea/20221003.

Full text
Abstract:
Le CIME Nanotech, Centre Interuniversitaire de Microélectronique et Nanotechnologies, pôle du GIP CNFM (1) de Grenoble, répond aux besoins en formation expérimentale et pratique dans les domaines de la microélectronique et des nanotechnologies au travers de ces huit plateformes. L’offre de travaux pratiques sur la plateforme Salle Blanche, jusqu’alors orientée filière de fabrication de dispositifs micro- et nano-électroniques, propose désormais un travail pratique sur les plasmas appliqués à la gravure ionique réactive de couches minces sur un équipement de type industriel, suivie par interférométrie laser.
APA, Harvard, Vancouver, ISO, and other styles
2

Levesque, Simon. "Stockage des déchets nucléaires : la communication à travers les millénaires. L’hypothèse cléricale de Sebeok réinterprétée avec Latour et Lotman." Cygne noir, no. 5 (June 16, 2022): 74–131. http://dx.doi.org/10.7202/1089940ar.

Full text
Abstract:
L’exploitation civile du potentiel énergétique issu de la fission de l’atome, depuis 1954, a généré des masses de déchets nucléaires souvent hautement radioactifs. Stockés à la surface de la Terre, ces déchets sont soumis aux aléas de l’histoire et exposés à d’éventuelles catastrophes naturelles impliquant un risque de diffusion à la fois des matières radioactives et de la radiotoxicité. La solution consensuelle pour leur gestion consiste, depuis trois décennies, à les enfouir en couche géologique profonde pour une durée indéterminée. Considérant que la période radioactive moyenne de ces déchets est d’environ 250 000 ans, cette solution implique de trouver un moyen de communiquer le danger que représentent ces sites d’enfouissement aux générations futures, dans un horizon temporel sans commune mesure dans, et même avec, l’histoire des civilisations humaines. L’hypothèse examinée ici est celle du « clergé atomique » proposée par le sémioticien Thomas A. Sebeok en 1984 dans Communication Measures to Bridge Ten Millennia. En prenant appui sur les travaux en sociologie des sciences de Bruno Latour et ceux sur la sémiosphère de Juri Lotman, une relecture de l’hypothèse cléricale est opérée. À travers cette réinterprétation, deux doctrines éthiques sont identifiées, qui axiomatisent le développement d’un éventuel dispositif sémiotique pour la communication dans la très longue durée associée au cas d’étude : une éthique de la responsabilité périnucléaire et une éthique de la conviction mythonucléaire.
APA, Harvard, Vancouver, ISO, and other styles
3

MUÑOZ-ROJAS, David. "Dépôt par couche atomique spatiale (SALD)." Innovations technologiques, November 2016. http://dx.doi.org/10.51257/a-v1-re262.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Gravure par couche atomique"

1

Antoun, Gaëlle. "Cryo-gravure de couches atomiques par plasma : mécanismes et procédés." Electronic Thesis or Diss., Orléans, 2020. http://www.theses.fr/2020ORLE3067.

Full text
Abstract:
Cette thèse a été réalisée au GREMI en collaboration avec Tokyo Electron Ltd, qui a également financé le projet. Le but de cette étude était de développer un nouveau procédé de gravure de couche atomique (ALE) à température cryogénique pour la gravure de matériaux à base de silicium.Le Cryo-ALE consiste à graver une ou quelques monocouches après avoir refroidi le substrat. La première étape de ce procédé est l'injection d'azote liquide pour refroidir le porte-substrat et refroidir la plaquette en injectant de l'hélium à l'arrière pour assurer le conductivité thermique. Une fois la température de la plaquette stabilisée, des espèces réactives sont injectées en phase gazeuse pour physisorber sur les surfaces refroidies. Les parois du réacteur étant maintenues à température ambiante, aucune adsorption ne se produit dessus. La troisième étape consiste à pomper ou purger la chambre par de l'argon afin d'éliminer tout le surplus de gaz réactif qui ne s'est pas physisorbé. Un plasma d'argon avec polarisation est alors démarré afin d'apporter suffisamment d'énergie par les ions pour modifier la surface de l'échantillon et graver une ou quelques monocouches du substrat. Cette étape est auto-limitante, car une fois que toute la surface modifiée est enlevée, il n'y a plus de gravure.Pour mener cette étude, un réacteur de recherche cryogénique ICP a été utilisé. Un ellipsomètre spectroscopique in-situ a été couplé pour enregistrer la variation d'épaisseur en temps réel, et un spectromètre de masse a été utilisé pour analyser les espèces présentes dans la chambre du réacteur pendant le procédé et en savoir plus sur les mécanismes.Des analyses par spectroscopie photoélectronique par rayons X quasi in-situ a également été réalisée au laboratoire IMN pour étudier l'évolution de la surface à basse température.Le principal avantage de ce procédé basé sur la physisorption d'espèces réactives, est qu'il permet de limiter la contamination des parois du réacteur et donc d'éviter des dérives au cours du procédé.En parallèle, un deuxième procédé a été développé à des températures cryogéniques mais où l'étape de modification a été réalisée en phase plasma. Ce second procédé a permis d'atteindre une sélectivité élevée entre Si3N4 sur Si et SiO2
This PhD was conducted at GREMI in collaboration with Tokyo Electron Ltd, that has also financed the project. The purpose of this study was to develop a new Atomic Layer Etching (ALE) process at cryogenic temperature for silicon-based materials etching.Cryo-ALE consists on etching one to few monolayers after decreasing the substrate temperature. The first step of this process is the injection of liquid nitrogen to cool the chuck and cool the wafer by injecting He at its backside to ensure the thermal conductivity. Once the wafer temperature has been stabilized, reactive species are injected in gas phase in order to physisorb on the cooled surfaces. As the reactor walls are kept at room temperature, no adsorption occurs on it. The third step is to pump or purge the chamber by Argon in order to remove all the surplus of the reactive gas that did not physisorb. An argon plasma with bias is then started in order to bring enough energy by the ions to make modify the surface of the sample and etch one to few monolayers of the substrate. This step is self-limited, as once all the modified surface is removed, no more etching occurs.To conduct this study, an ICP cryogenic research reactor has been used. On it an in-situ spectroscopic ellipsometer was coupled to monitor the thickness variation in real time, and an Electrostatic Quadrupole Mass Spectrometer was used to analyze the species present in reactor chamber during the process and know more about the mechanisms.Quasi in-situ X-ray Photoelectron Spectroscopy has also been performed at the laboratory IMN for surface analysis at low temperature.The main advantage of this process based on the physisorption of reactive species, is that it enables to limit reactor walls contamination and hence prevent process drifts.In parallel, a second process was developed at cryogenic temperatures but where the modification step was performed in plasma phase. This second process enabled to achieve high selectivity between Si3N4 over Si and SiO2
APA, Harvard, Vancouver, ISO, and other styles
2

Pezeril, Maxime. "Développement d'un procédé de gravure par plasma pour les transistors de puissance à base de matériaux III-V." Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT049.

Full text
Abstract:
Dans le secteur de l’électronique de puissance, le Nitrure de Gallium (GaN) émerge comme un matériau prometteur grâce à ses qualités intrinsèques, en particulier son grand gap et sa tenue à fortes tensions. Les transistors qui l’utilisent, appelés HEMT (High Electron Mobility Transistors), reposent sur une propriété particulière d’une hétérostructure AlGaN/GaN: un canal bi-dimensionnel (2DEG). Les différentes technologies sont encore en développement et font face à différentes problématiques liées aux étapes critiques du procédé de fabrication des composants. L’une de ces étapes est la gravure de la grille. Ce doctorat présente différentes études des dégradations induites par la gravure plasma du GaN, ainsi que méthode de réduction de l’endommagement. Le procédé de référence étudié est constitué d’une gravure principale RIE (Reactive Ion Etching) par plasma Cl2/BCl3 puis d’une finition lente et moins dégradante par Atomic Layer Etching (ALE).Les premiers travaux ont souligné l’impact du choix du masque utilisé pour la définition des motifs sur la plaque. Les analyses XPS, AFM et les observations du profil de la grille après gravure ont permis de mettre en avant certains mécanismes impliquant directement la nature du masque. Ainsi, le passage d’un masque en résine photosensible à un masque diélectrique (dit masque dur) a accéleré la gravure, sans modification drastique de l’état de surface. En parallèle, deux mécanismes de passivation de la grille ont été décelé: une passivation latérale par dépôt d’un polymère lors de la gravure du masque de résine, une passivation sur l’ensemble de la grille freinant la deuxième étape de gravure par ALE lors de la gravure d’un masque d’oxyde de silicium. Ce deuxième mécanisme a été contourné via la modification de l’énergie de bombardement ionique.Ces premiers résultats ont servi de base pour l’étude d’approches alternatives au procédé de référence. La nature des espèces chimiques présentes dans le plasma a clairement été mis en exergue, en particulier des espèces comme l’HBr. Ainsi, la suite de l’étude s’est recentrée sur la modification des paramètres du procédé de référence. Confirmée par la modification du bias, la préponderance du bombardement ionique dans le mécanisme de dégradation du GaN a été réduite par le passage d'un bias continu à un bias pulsé. Ainsi, ces premiers résultats électriques ont ajouté de nouvelles options prometteuses.Les derniers travaux ont tenu compte des études du choix du masque et de la modification de certaines caractéristiques du plasma pour proposer une analyse des performances électriques du composant. Via la création de capacité MOS GaN/Al2O3/Ni/Au sur la surface du GaN grave, des caractéristiques importantes d’une grille ont été analysées : la tension de bande plate VFB et l’hystérèse d’une cycle accumulation-décharge C(V). La mise en place du procédé de gravure-dépôts métalliques a souligné l’importance de l’ajout d’étapes de nettoyage : par plasma in situ à la suite de la gravure, par bain acide avant la croissance d’alumine
In power electronics industry, Gallium Nitride (GaN) is a promising material by his properties, especially the wide gap and high voltage working. The devices, called HEMT (High Electron Mobility Transistors), are based on AlGaN/GaN heterostructure property : the Two-dimensional electron gas (2DEG). The manufacturing of power devices inlcudes several critical steps when the GaN is degraded. This thesis works focused on the plasma induced damages and present several processes to reduce these degradations.We first studied the impact of mask used for patterning with a Cl-based Reactive Ion Etching (RIE) process followed by Atomic Layer Etching (ALE). XPS, AFM and SEM gate profile analysis highlighted degradation mechanisms involving the masks. The comparison between resist mask and dielectric masks, called hard masks, have shown 2 types of passivation. The first one is a polymer deposition on the sidewalls of the gate during resist mask etching. The second one is thin layer deposition on the sidewalls and the bottom of the gate during silicon oxide mask etching. This passivation, slowing the ALE down, has been avoided by ion bombardment energy modification.Considering the first results, we tried several alternative plasma etching processes. The nature of the species used has been clearly identified as a strong factor of degradation, especially HBr. Furthermore, the modification of the bias voltage for the Cl-based process confirms that ion bombardment energy is the main factor of GaN degradation. The use of bias-pulsed processes shows promising results.Finally, the last works focused on MOS (GaN/Al2O3/Ni/Au capacity performances analysis following plasma etching conditions. The Capacity-Voltage C(V) characterizations put emphasis on the add of clean steps between GaN plasma etching and alumine Atomic Layer Deposition (ALD) : in situ O2 dry strip (without bias voltage) and HCL wet strip before furnace loading
APA, Harvard, Vancouver, ISO, and other styles
3

Rollier, Anne-Sophie Collard Dominique Buchaillot Lionel. "Technologies microsystèmes avancées pour le fonctionnement de dispositifs en milieu liquide et les applications nanométriques." Villeneuve d'Ascq : Université des sciences et technologies de Lille, 2007. https://iris.univ-lille1.fr/dspace/handle/1908/1036.

Full text
Abstract:
Reproduction de : Thèse de doctorat : Microondes et microtechnologies : Lille 1 : 2006.
N° d'ordre (Lille 1) : 3891. Titre provenant de la page de titre du document numérisé. Bibliogr. à la suite de chaque chapitre.
APA, Harvard, Vancouver, ISO, and other styles
4

HEHN, Michel. "ELABORATION, ETUDE DES PROPRIETES STRUCTURALES ET MAGNETIQUES DE COUCHES ET RESEAUX DE PLOTS SUBMICRONIQUES A BASE DE COBALT." Phd thesis, Université Louis Pasteur - Strasbourg I, 1997. http://tel.archives-ouvertes.fr/tel-00002760.

Full text
Abstract:
Dans une première partie, nous avons élaboré des couches épitaxiées de cobalt d'épaisseur variant de 10 à 500 nm et étudié leurs propriétés structurales et magnétiques. Nous avons optimisé leur croissance pour obtenir du cobalt dans sa phase cristalline hexagonale (0001) car elle présente la plus forte anisotropie magnétocristalline perpendiculaire. Puis, nous avons montré que l'aimantation de ces couches passe d'une configuration en domaines d'aimantation planaire à perpendiculaire lorsque l'épaisseur varie entre 10 et 50 nm. Pour des épaisseurs supérieures à 50 nm, la structure en domaines est alors composée de bulles, de bandes ou d'un labyrinthe selon l'histoire magnétique. La topologie des domaines est indépendante de leur épaisseur tandis que leur largeur varie entre 50 et 200 nm comme la racine carrée de l'épaisseur. Puis, nous avons montré que ces couches de cobalt étaient de très bons candidats pour l'étude de la magnétorésistance et résonance de paroi. Dans une seconde partie, nous avons élaboré des réseaux de 5x5 mm2 de plots de 0.5 µm de côté à partir de ces couches et étudié leurs propriétés structurales et magnétiques. Nous avons montré que la réorientation de l'aimantation en fonction de l'épaisseur est conservée pour les mêmes épaisseurs et que la nanostructuration avait un effet sur la structure en domaines. Pour les plus fortes épaisseurs, la taille des domaines est de l'ordre de grandeur de celle des plots entraînant la contrainte de la composante perpendiculaire d'aimantation. Pour les plots de 25 nm d'épaisseur, la contrainte géométrique de la composante planaire d'aimantation permet de stabiliser une structure vortex en anneaux concentriques. La courbe d'aimantation mesurée sur cet échantillon présente un saut d'aimantation attribué à la disparition de domaines de la structure vortex. Nous avons pu mettre en évidence la réorientation de l'aimantation dans chaque domaine en fonction de la température transformant le vortex en domaines à aimantation perpendiculaire.
APA, Harvard, Vancouver, ISO, and other styles
5

XU, XIANG ZHEN. "Les mecanismes de croissance de films de bi#2sr#2cuo#x deposes sequentiellement couche atomique par couche atomique." Paris 6, 1993. http://www.theses.fr/1993PA066278.

Full text
Abstract:
L'utilisation des cuprates supraconducteurs en electronique suppose la realisation de films d'excellente qualite tant supraconductrices que morphologiques. Dans la methode d'epitaxie par jets moleculaires que nous utilisons, les constituants sont deposes par sequences correspondant exactement a un plan atomique du compose a realiser. Chaque source, cellule de knudsen ou canon electronique, est munie d'un cache dont la duree d'ouverture permet d'ajuster la quantite de matiere deposee. Cette methode est en principe tres puissante car elle permet de forcer la croissance de composes ayant une structure cristallographique inaccessible par d'autres methodes. L'etude presentee dans ce travail concerne la croissance de la phase bi#2sr#2cuo#x dite phase 22oi. Cette phase est la plus simple appartenant a la famille bi#2sr#2ca#n##1cu#no#y. Les conditions de depot, temperature du substrat, pression du gaz oxydant, flux des sources, telles que la croissance du film s'effectue bien couche atomique par couche atomique. Ces conditions sont difficiles a obtenir dans le cas des cuprates en raison de leur caractere partiellement ionique dans la direction normale aux plans cuo#2. La diffraction d'electrons rapides rheed renseigne en temps reel sur la structure et le mode de croissance bidimensionnel ou tridimensionnel. L'observation des oscillations de l'intensite permet de calibrer les temps de depot necessaires a la formation de couches atomiques completes pour chaque element. Nous montrons que la temperature du substrat, la pression d'oxygene atomique et le flux des sources sont des parametres essentiels pour determiner les conditions optimales de depot. Le degre d'oxydation des films est relie aux modulations caracteristiques de la structure cristalline, ainsi qu'a la conductivite. Celle-ci varie de plus de cinq ordres de grandeurs suivant la composition
APA, Harvard, Vancouver, ISO, and other styles
6

Rollier, Anne-Sophie. "Technologies microsystèmes avancées pour le fonctionnement de dispositifs en milieu liquide et les applications nanométriques." Phd thesis, Université des Sciences et Technologie de Lille - Lille I, 2006. http://tel.archives-ouvertes.fr/tel-00128689.

Full text
Abstract:
Ce travail s'inscrit dans le cadre du développement de sondes actives destinées à améliorer les performances des microscopes à force atomique (AFM) en vue de caractériser des objets nanométriques en milieu liquide avec une grande résolution spatiale et temporelle. Il s'agit d'un enjeu d'actualité qui mobilise la communauté scientifique tant au niveau de la physique encore souvent mal comprise que de l'instrument lui-même dont la sonde microsystème va fournir des performances accrues. L'architecture de ce nouveau capteur AFM va être identique aux sondes de type poutre encastrée-libre classiquement utilisées en AFM sous vide ou dans l'air.

L'innovation réside dans l'intégration d'un actionnement propre directement sur le capteur, pour diminuer la quantité de fluide déplacé par rapport à un actionnement déporté d'une sonde classique, et d'une pointe effilée par un nanotube de carbone, pour atteindre une résolution latérale inférieure au nm.

La recherche de la compréhension des phénomènes physiques entrant en jeu a conduit à une modélisation analytique complète du comportement dynamique du levier en milieu liquide. Cette modélisation, intégrant les phénomènes de dissipation intrinsèque à la structure et ceux dus au milieu liquide, permet d'optimiser les paramètres géométriques du capteur conduisant aux meilleures performances en terme de fréquence de résonance (>MHz) et de coefficient de qualité (>10). Des leviers aux dimensions optimales pour un actionnement en milieu liquide ont ainsi été fabriqués par technique de micro-usinage de surface et de volume. Deux voies technologiques ont été envisagées : l'actionnement électrostatique et l'actionnement piézoélectrique qui, au vue de l'étude bibliographique, sont les deux principes d'actionnement les plus adaptés à la détection de force en milieu liquide.

La résolution latérale nanométrique a été obtenue en intégrant à l'extrémité du levier une pointe à apex très effilée. Une première méthode a consisté à utiliser la croissance localisée d'un unique nanotube de carbone dans le prolongement de la pointe. Cette étape a été rendue possible par une collaboration intensive avec le LEPES et plus particulièrement avec Anne-Marie Bonnot où une statistique de greffage de nanotubes de carbone a été réalisée sur des champs de pointe à géométrie variable pour contrôler, entre autre, la longueur des tubes obtenus à l'apex des pointes en silicium. Le procédé de dépôt des nanotubes de carbone étant réalisé à haute température (>800°C), il n'est donc compatible qu'avec une technologie de fabrication haute tempérautre comme c'est le cas de l'actionnement électrostatique (1100°C). Une autre méthode est donc utilisée pour effiler les pointes en silicium des leviers piézoélectriques à technologie froide (<650°C). La pointe est fabriquée avant le dépôt des couches de PZT qui réalisent l'actionnement et un apex nanométrique est obtenu par cycles d'oxydation-désoxydation.

Ainsi les leviers actifs ont pu être caractérisés dans l'air et dans l'eau par vibrométrie laser puis par AFM, les leviers comportant un support aux dimensions entièrement compatibles avec les AFM commerciaux. Les effets d'électrolyse et d'écrantage du potentiel des électrodes, inhérents au milieu liquide d'actionnement, ont été d'autre part étudiés.

Cette étude pluridisciplinaire en collaboration avec le LEPES (nanotube de carbone) et le CPMOH (caractérisations AFM des pointes à nanotubes) a permis de fabriquer une nouvelle génération de sondes actives AFM adaptées au milieu liquide.
APA, Harvard, Vancouver, ISO, and other styles
7

Soriano, casero Robert. "Etude de la gravure du SiN contrôlée a l'échelle atomique par implantation d'O2 suivi de gravure ultra-sélective SiO2/SiN en plasma déporté NF3/NH3." Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT003/document.

Full text
Abstract:
Depuis le début de la microélectronique, l’industrie a développé sans arrêt des nouvelles technologies de gravure plasma pour diminuer la taille des dispositifs tout en réduisant le cout de fabrication et en augmentent les performances des circuits intégrés. Aujourd’hui, les transistors tel que le FDSOI 22nm ou FinFET 10 nm doivent être gravé avec une précision sub-nanométrique et sans endommager la sous-couche sur plus d’une couche atomique. Pour arriver à faire cela, de nouvelles technologie se développent, dont le Smart Etch. Cette technologie en deux étapes consiste à modifier la surface du matériau sous l’action d’un plasma, puis à retirer ce matériau modifié sélectivement par rapport au matériau non modifié. Le but de cette thèse est d’étudier la faisabilité de remplacer les plasmas de He et H2 utilisé dans le Smart Etch par des plasmas d’O2. L’intérêt est l’oxydation du matériau est une réelle modification chimique, permettant l’élimination sélective de ce dernier en RPS. Par ailleurs, contrairement aux plasma de He/H2, le plasma de O2 ne grave pas les parois du réacteur et rejette beaucoup moins d’impuretés dans le plasma. Dans un premier temps, nous avons étudié les mélanges gazeux NF3/H2 et NF3/NH3 utilisés dans l’étape de retrait RPS. Ces études ont été fait grâce à la spectroscopie d’absorption VUV et d’émission UV. Nous avons mis en évidence la création de HF dans les deux mélanges et nous avons mis en avant de manière indirecte la création de NH4F (cette espèce jouant un rôle clé dans la formation des sels) à partir de NH3 et HF. De plus nous avons observé la présence de F et H qui sont responsable de la gravure de SiO2 et SiN lorsque H2
Since the beginning of microelectronics, the industry has continuously developed new plasma etching technologies to reduce the size of devices while reducing the cost of manufacturing and increase the performance of integrated circuits. Today, transistors such as 22nm FDSOI or 10nm FinFET must be engraved with sub-nanometric precision and without damaging the underlayment on more than one atomic layer. To achieve this, new technologies are developing, including the Smart Etch. This two-step technology involves modifying the surface of the material under the action of a plasma and then removing selectively the modified material from the unmodified material. The aim of this thesis is to study the feasibility of replacing the He and H2 plasmas used in the Smart Etch by O2 plasmas. The interest is the oxidation of the material, that it is a real chemical modification, allowing latter the selective elimination by RPS. Moreover, unlike He / H2 plasma, the O2 plasma does not damage the reactor walls and releases much less impurities into the plasma. Firstly, we studied the gaseous mixtures NF3 / H2 and NF3 / NH3 used in the step of RPS remove. Thouse studies were done through VUV absorption spectroscopy and UV emission. We have demonstrated the creation of HF in both mixtures and we have indirectly highlighted the creation of NH4F (this species plays a key role in the formation of salts) from NH3 and HF. In addition we observed the presence of F and H which are responsible for the etching of SiO2 and SiN when H2
APA, Harvard, Vancouver, ISO, and other styles
8

Melo, Sánchez Claudia de. "Croissance sélective de Cu2O et Cu métallique par dépôt par couche atomique sur ZnO et leur application en optoélectronique." Electronic Thesis or Diss., Université de Lorraine, 2019. http://www.theses.fr/2019LORR0040.

Full text
Abstract:
Dans ce travail, après l’état de l’art et la présentation de méthodes de synthèse et d’analyse, nous présentons des résultats sur la croissance sélective de Cu2O et Cu métallique par dépôt par couche atomique (ALD) sur ZnO, ZnO dopé à l’Al (AZO) et α-Al2O3. Nous mettons en évidence la possibilité de déposer de façon sélective Cu métallique ou Cu2O, en contrôlant la température de dépôt et la conductivité ou la densité des défauts ponctuels au sein du substrat. Un procédé sélectif local de dépôt par couche atomique (AS-ALD) a été mis en évidence sur une bicouche à motifs composée de zones de ZnO de faible conductivité et de régions d’AZO de forte conductivité. De plus, l'AS-ALD permet la fabrication de nano-jonctions à base de Cu2O/ZnO /AZO/Cu, dont le comportement de jonction p-n a été confirmé par microscopie à force atomique à pointe conductrice (C-AFM). Les mécanismes liés à la croissance sélective locale sont également discutés. Dans la seconde partie de cette thèse, des nanoparticules de Cu (NP) ont été déposées par ALD sur des couches minces de ZnO. Les NP de Cu présentent une résonance plasmon de surface localisée caractérisée par ellipsométrie. La position de la bande de résonance plasmon est ajustable entre les régions visible et infrarouge du spectre électromagnétique en gérant la taille des particules et leur espacement par l’intermédiaire du temps de dépôt. Le système Cu NP/ZnO montre une photo-réponse dans le visible grâce à la génération d'électrons chauds à la surface des NP de Cu et l'injection dans la bande de conduction de ZnO. Finalement, des hétérojonctions Cu2O/ZnO semi-transparentes ont été fabriquées par ALD et pulvérisation cathodique. Les hétérojonctions présentent une photo-réponse autoalimentée sous éclairement, des temps de réponse rapides et une transparence élevée dans le visible, ce qui est prometteur pour des applications dans les domaines de l’électronique transparente, la photo-détection et le photovoltaïque
In this work we present the results on the selective growth of Cu2O and metallic Cu by atomic layer deposition (ALD) on ZnO, Al-doped ZnO (AZO) and α-Al2O3 substrates. It was possible to tune the deposited material (Cu or Cu2O) by controlling the deposition temperature, and the substrate conductivity/density of donor defects. An area-selective atomic layer deposition (AS-ALD) process was demonstrated on a patterned bi-layer structure composed of low-conductive ZnO, and highly-conductive AZO regions. Furthermore, the AS-ALD allows the fabrication of Cu2O/ZnO/AZO/Cu-back-electrode nanojunctions, as confirmed by conductive atomic force microscopy (C-AFM). The mechanism behind the temperature and spatial selectivities is discussed. In a second part of this thesis, Cu nanoparticles (NP) were deposited by ALD on ZnO thin films. The Cu NP exhibit a localized surface plasmon resonance, tunable from the visible to the near-infrared regions, as confirmed by spectroscopic ellipsometry. An enhanced visible photo-response was observed in the Cu NP/ZnO device thanks to the hot-electron generation at the surface of the plasmonic Cu NP and transfer into the conduction band of ZnO. Finally, semi-transparent Cu2O/ZnO heterojunctions were fabricated by ALD and reactive magnetron sputtering. The heterojunctions present a stable self-powered photo-response under 1 Sun illumination, fast response times and high transparency in the visible region, which is promising for all-oxide transparent electronics, photodetection and photovoltaics
APA, Harvard, Vancouver, ISO, and other styles
9

Vital, Alexane. "Elaboration de masques nano poreux de polymères et gravure profonde du silicium." Thesis, Orléans, 2016. http://www.theses.fr/2016ORLE2011/document.

Full text
Abstract:
En microélectronique, les techniques actuelles de fabrication des supercondensateurs requièrent le développement de motifs nanostructurés de surface spécifique élevée. Nous nous intéressons à une alternative émergeante aux techniques classiques ‘top-down’ de fabrication des masques de gravure : les mélanges d’homopolymères. En effet, deux polymères avec des chimies différentes sous forme de films minces peuvent conduire à une séparation de phase avec des domaines cylindriques de taille sub-micrométrique. Une gravure cryogénique au travers de ces masques produit une nanostructuration avec une importante surface spécifique. Les travaux de cette thèse ont porté sur la réalisation des films minces et sur la compréhension des mécanismes d’obtention de la morphologie finale. Une étude a été menée sur les solvants de dépôt et d’exposition pour déterminer leur influence sur les morphologies. Les paramètres influençant la taille des motifs sont ensuite étudiés. Des domaines de moins de 100 nm ont été obtenus. Finalement, l’étude d’une méthode alternative de dépôt par dip-coating a permis l’obtention d’une grande variété de morphologies en une seule étape et pour une même solution. Ces travaux se sont ensuite orientés sur la réalisation des motifs en gravant par plasma le silicium au travers de ces masques. Deux procédés ont été retenus, adaptés et optimisés afin de réaliser des gravures profondes sans défaut. Le procédé STiGer aniso permet de les obtenir et ce, avec la meilleure répétabilité. Un autre axe, portant sur l’optimisation de la sélectivité en modifiant la nature du masque, a été développé. Une sélectivité de 70 : 1 est obtenue pour un masque de poly(styrène) marqué au Ru
In microelectronics, current techniques for supercapacitors manufacturing requires the development of nanostructured patterns with high specific surface. We are interested in an emerging alternative approach to conventional 'top-down' fabrication techniques based on blends of homopolymers. Indeed, two polymers with different chemistries in thin films can lead to phase separation with cylindrical domains of sub-micrometer size. A cryogenic plasma through these masks can produce nanostructuration with a high specific surface. The work of this thesis focused on the realization of thin films and on the understanding of the mechanisms to obtain the final morphology. A study on solvent deposition and exposure was led to determine their influence on the morphologies. The parameters influencing the size of the domains are then studied. Domains of less than 100 nm were obtained. Finally, the study of an alternative method of deposition by dip-coating enabled to obtain a variety of morphologies in one step and for the same solution. This work was then directed towards the realization of structured surfaces by plasma etching of the silicon through this masks. Two methods were used, adapted and optimized to achieve deep etched without default. The process StiGer aniso allows to obtain this and with better repeatability. Another axis is developed. It is focused on the optimization of the selectivity by modifying the nature of the mask. We succeed in obtaining a selectivity of 70: 1 with a mask of poly(styrene) stained by Ru
APA, Harvard, Vancouver, ISO, and other styles
10

Hazim, Mostafa. "Mesures des sections efficaces d'ionisations de la couche K induites par des protons de haute énergie pour une large plage de numéro atomique." Thesis, Nantes, 2017. http://www.theses.fr/2017NANT4100/document.

Full text
Abstract:
La connaissance des sections efficaces d’ionisation de la couche K est nécessaire pour faire des analyses quantitatives avec la méthode PIXE à haute énergie (HEPIXE) ainsi que pour valider les modèles théoriques développés comme le modèle ECPSSR. Actuellement, il n’y a que peu de données expérimentales disponibles. C’est dans ce contexte qu’une campagne d’expériences a été menée au cyclotron ARRONAX avec pour objectif de mesurer les sections efficaces dans une large gamme en énergie (de 30 MeV à 68 MeV) et pour une large gamme d’atomes. Afin d’avoir les mesures les plus précises possibles, l’ensemble des paramètres du dispositif expérimental nécessaires à cette mesure comme les efficacités en énergie du détecteur, le faisceau et les cibles, ont été caractérisés avec la plus grande rigueur. Une étude bibliographique a été menée pour choisir les paramètres intrinsèques comme le rendement de fluorescence de la couche K ou les intensités relatives des différentes transitions. Enfin, une expérience à basse énergie (6 MeV/u) a été réalisée dont les résultats sont en bon accord avec les données de la bibliographie, ce qui valide la démarche et les outils utilisés et permet de faire le lien avec les données expérimentales existantes. Un ensemble cohérent et fiable de nouvelles valeurs de sections efficaces a été mesuré. Les valeurs données par le modèle ECPSSR dans notre gamme d’énergie montrent un écart de moins de 10% pour les atomes lourds et de moins de 20% pour les atomes légers. La prise en compte de l’effet relativiste du projectile, modèle RECPSSR, réduit cet écart surtout pour les éléments lourds
The knowledge of the K-Shell ionization cross sections is necessary to perform quantitative analyzes with the high energy PIXE method (HEPIXE) as well as to validate theoretical models like the the ECPSSR model. Currently, experimental data available are scarce.Within this context, an experimental campaign has been conducted at the ARRONAX cyclotron with the aim of measuring these cross-sections in a wide energy range (from 30 MeV to 68 MeV) and for a wide range of atoms. In order to obtain the most precise measurements, all the parameters of the experimental devices necessary for these measurements, such as energy efficiencies and geometry of the detector, the beam and the targets, have been characterized accurately A special care has been made to select the most accurate physical parameters from the literature data, like the K-shell fluorescence yields and the X-rays emission rates. Finally, a low-energy experiment (6 MeV/u) was performed and the results are in good agreement with the bibliographic data validating our approach and our tools. This experiment also allows to link our data to the existing experimental data.A coherent and reliable set of new cross section data has been measured. The values given by the ECPSSR model in our energy range show a difference of less than 10% for heavy atoms and less than 20% for light atoms. Taking into account the relativistic effect of the projectile, model RECPSSR, reduces this difference especially for heavy elements
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography