Dissertations / Theses on the topic 'Etching'

To see the other types of publications on this topic, follow the link: Etching.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Etching.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Lochnan, Katharine Jordan. "Whistler's etchings and the sources of his etching style, 1855-1880." New York : Garland Pub, 1988. http://catalog.hathitrust.org/api/volumes/oclc/17107762.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

El, Otell Ziad. "Neutral beam etching." Thesis, Open University, 2013. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.607461.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
The aim of this research is to better understand the behaviour of pulsed discharges and electron dynamics for the purpose of tailoring the plasma properties for neutral beam etching (NBE) applications. A capacitively coupled plasma formed in a research system was used for a study of pulsed tailoring in an electropositive plasma. A combination of high time resolved optical diagnostics, plasma imaging and optical emission spectroscopy, and hairpin probe measurements were used to study the electron density and the energy distribution function during the ignition phase of a repetitively pulsed plasma. Two different waveforms were used to modulate the envelope of the input RF -voltages in order to control the ignition phase, by changing the increase rate of the electron density and evolution of the electron energy distribution function (EEDF). The results of this study indicate that the increase rate of the electron density and the EEDF, during operation, can be influenced and even controlled to some extent by pulse tailoring. Electron densities of the order of 1016 m- 3 were obtained, and EEDFs of a highly non-:'1axwellian nature were characterised during the ignition phase. Also, the ignition timescales were controlled by applying pulse tailoring from a few microseconds (typically 2 μs) to a few tens of microseconds (80 μs) for the different input waveforms. An inductively coupled plasma in an industrial plasma etching tool was used to study pulse tailoring in electropositive and electronegative discharges. The same environment was used to create a source to from energetic negative ions which could then be extracted and neutralised. Similar diagnostic techniques, as those used in the research source, in addition to RF-probes were used to characterise the inductive source. Optical emission spectrascopy and electron density measurements showed. that the plasmas, almost instantaneously, ignite in the H-mode. The EEDFs were characterised by a Maxwellian distribution with an electron temperature ranging between 1.2 up to 1.6 eV, and electron densities of the order 1018 m- 3 were measured, depending on the operating conditions. This source was also used for preliminary NBE studies. Neutralisation efficiencies ranging between 70% and 95% were measured, and etch rates of 25 and 30 nm/ min were found
3

Parks, Joseph Worthy Jr. "Microscopic numerical analysis of semiconductor devices with application to avalnache photodiodes." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/13539.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Baker, Michael Douglas. "In-situ monitoring of reactive ion etching." Diss., Georgia Institute of Technology, 1996. http://hdl.handle.net/1853/15352.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Zachariasse, Jacobus Marinus Frans. "Nanostructure etching with plasmas." Thesis, University of Cambridge, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.388386.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Bloomstein, Theodore Michael. "Laser microchemical etching of silicon." Thesis, Massachusetts Institute of Technology, 1996. http://hdl.handle.net/1721.1/11269.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Thesis (Sc. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1996.
Includes bibliographical references (p. 195-205).
Theodore M. Bloomstein.
Sc.D.
7

Stoikou, Maria D. "Etching of CVD diamond surfaces." Thesis, Heriot-Watt University, 2010. http://hdl.handle.net/10399/2441.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
This thesis presents a fundamental study on etching of diamond surfaces. Details of the growth by microwave plasma Chemical Vapour Deposition (CVD) and etching by microwave hydrogen plasma, oxygen reactive ion etching (RIE) and thermal oxidation are presented. Prolonged exposure of {100} diamond surfaces to microwave hydrogen plasma was investigated by atomic force microscopy (AFM). Reduction of surface roughness has been observed while formation of etch pits has not been detected. X-ray photoelectron spectroscopy (XPS) detected the removal of graphitic carbon and reduction of oxygen under hydrogen plasma etching. Electrical sheet resistivity has been observed to be depended on the texture of the CVD diamond films as well as on the ambient exposure time. Both the surface and electronic properties are shown to agree with theoretical models. Formation of columnar structures accompanied the oxygen RIE of CVD diamond films and cubo-octahedral crystallites. Using scanning electron microscopy (SEM) and AFM the preferential formation of columnar structures in the inter-granular area of the diamond films has been detected. Surface contamination by silicon oxide has been identified by EDAX on the diamond surface and specifically on the columnar structures. Analysis by XPS demonstrated that the RIE etched surfaces were oxygen terminated and also were partially graphitised. A discussion on the mechanism of columnar formation has been presented. From the thermal oxidation of cubo-octahedral CVD diamond crystallites the activation energies and pre-exponential factors of the {100} and {111} diamond surfaces were measured, using optical profilometry, to be 221 ± 34 kJ mol-1, 2.3 x 109 nm s-1 Pa-1 and 286 ± 29 kJ mol-1, 1.9 x 1014 nm s-1 Pa-1 over the temperature range 535oC to 600oC respectively. Thermal oxidation of {100} and {111} diamond surfaces was accompanied with the formation of etch pits, increase of surface roughness and the exposure of {113} diamond surfaces between the {100} and {111} surfaces. A mechanism for the thermal oxidation of the diamond surfaces has been proposed.
8

Hobbs, Neil Townsend. "Anisotropic etching for silicon micromachining." Thesis, Virginia Tech, 1994. http://hdl.handle.net/10919/40632.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:

Silicon micromachining is the collective name for several processes by which three dimensional structures may be constructed from or on silicon wafers. One of these processes is anisotropic etching, which utilizes etchants such as KOH and ethylene diamine pyrocatechol (EDP) to fabricate structures from the wafer bulk. This project is a study of the use of KOH to anisotropically etch (lOO)-oriented silicon wafers. The thesis provides a thorough review of the theory and principles of anisotropic etching as applied to (100) wafers, followed by a few examples which serve to illustrate the theory. Next, the thesis describes the development and experimental verification of a standardized procedure by which anisotropic etching may be reliably performed in a typical research laboratory environment. After the development of this procedure, several more etching experiments were performed to compare the effects of various modifications of the etching process. Multi-step etching processes were demonstrated, as well as simultaneous doublesided etching using two different masks. The advantages and limitations of both methods are addressed in this thesis. A comparison of experiments performed at different etchant temperatures indicates that high temperatures (800 C) produces reasonably good results at a very high etch rate, while lower temperatures (500 C) are more suited to high-precision structures since they produce smoother, higher-quality surfaces.
Master of Science

9

Astell-Burt, P. J. "Studies on etching and polymer deposition in halocarbon plasmas." Thesis, University of Oxford, 1987. http://ora.ox.ac.uk/objects/uuid:d8fd1069-a66b-4372-8ba0-b9ca5367445c.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Plasma etching, the selective removal of materials by reaction with chemically active species formed in a glow-discharge, is widely used by the electronics industry because of the advantages over 'wet' processes. The full potential has yet to be realised because chemical processes occuring in the plasma and at the plasma/substrate interface are incompletely understood. In this work attention was focussed on the accumulation of polymers on surfaces during plasma etching in fluorocarbon gases. An apparatus was designed and constructed to explore the conditions which give rise to these deposits by: i) The detection of the excited species such as CF and CF2 (by optical emission spectroscopy); and ii) The rate of accumulation or removal of deposits (by means of a quartz crystal microbalance). The gases CF4, C2F6, C3F8 and CHF3 were used at pressures between 200-600mT, together with mixtures with H2 and a few runs with other gases to vary the partial pressures of etching and polymerizing species. Both substrate effect of, viz silicon and thermally oxidised silicon (SiO2), and electrode materials effects have been examined. Polymer production from C3F8 has been found to be more sensitive to electrode composition than that from CHF3, but the material formed is overall less thermally stable. On the other hand, polymers produced from C3F8 accumulate at similar rates on Si and SiO2, whereas those from CHF3 show a much greater liklihood of building up on Si than SiO2 . XPS and infra-red spectroscopy have been used to demonstrate that polymers arising from these two gases exhibit marked structural differences, which can be minimised by mixing H2 with C3F8. These effects can be correlated with the decomposition products expected in the plasma.
10

Toogood, Matthew John. "Studies of the chemistry of plasmas used for semiconductor etching." Thesis, University of Oxford, 1991. http://ora.ox.ac.uk/objects/uuid:e234bbaa-d6e6-4ac8-a3dd-aa9a2c1b1e39.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Optical diagnostic techniques have been developed and then used to investigate the chemistry of reactive species formed in CF4 / O2 rf parallel plate discharges, similar to those employed in semiconductor material processing. Oxygen atoms were detected by two photon laser induced fluorescence (LIF), and the technique was found to have a number of experimental caveats owing mainly to the high laser intensities required. In particular, amplified spontaneous emission (ASE), was observed from laser excitation of oxygen, and was found to influence the spontaneous fluorescence signal and thus question the use of LIF for ground state concentration measurements in these systems. The spin orbit states of the 3p 3P level were resolved for the first time, both in using high resolution excitation experiments and also as a consequence of detecting ASE. Spin orbit temperatures of less than 50° above ambient were observed. The absolute concentration of oxygen has been found to be 7.4 ± 1.4 x 1013 cm-3 in a 50 mTorr, 100 W, 85% O2 / CF4 plasma. Optical emission was also used to study fluorine atoms and to examine the use of the actinometered emission technique as a measure of ground state concentrations. The latter was investigated directly by comparison with LIF measurements of O and CF2, and in many cases shown to be a poor representation of the ground state concentration. To investigate the chemical and physical processes in the plasma, time resolved methods are required and a new technique, time resolved actinometry, has been developed, tested by comparison with LIF measurements and then used to study the kinetics of fluorine atoms. Results have shown the importance of wall reaction rates on the magnitude of the fluorine atom concentrations, and the sensitivity of these concentrations to the nature of the surface, particularly in the presence of oxygen and silicon. Oxygen has also been shown to be removed predominantly at the surface but the influence of gas phase reactions with CFx radicals is apparent in discharges containing low percentages of O2. Studies on an afterglow type, electron cyclotron resonance reactor have been carried out as a comparison to the parallel plate system, and high excitation and dissociation levels have been observed from differences in the emission intensities and from measured values of the absolute CF and CF2 concentrations. The use of LIF as a diagnostic for CF has been investigated by probing the predissociation of the A2Σ+ state. Emission from the A2Σ+ (v = 2) level has been seen for the first time, and a J independent predissociation mechanism, with a rate of 3 x 109 s-1 has been observed.
11

Edström, Curt. "Wet etching of optical thin films." Thesis, Tekniska Högskolan, Högskolan i Jönköping, JTH, Kemiteknik, 2010. http://urn.kb.se/resolve?urn=urn:nbn:se:hj:diva-13988.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Evaluation of the wet etching properties of several different thin film oxidesgrown by physical vapour deposition was performed in this work. MgO, Al2O3,SiO2, TiO2, HfO2 ZrO2 and Y2O3 were coated on two types of substrates; Si andborosilicate glass and etching tests were performed in different etchingsolutions. MgF2 thin films have also been evaluated. Important aspects of the choice of the thin films was taken into account in orderto match to good optical properties such as refractive index (n), extinction coefficient (k) and optical thickness (TP) as well as good chemical properties in the wet etching process. A description is made of the physics of optical filters and how a combination of different oxides stacked onto each other can create interference filters. A description of the manufacturing process of the thin films where physical vapour deposition (PVD) was used is presented. Thermal shift of the optical spectra caused by porous coatings was investigated and analyses of the thin films by ellipsometry, surface profilometry and transmission spectrophotometry have been performed. The wet etching properties were evaluated by monitoring the transmission insituon transparent borosilicate glass substrates. A method of how to measure the wet etching rate for different thin films is described. A computer software was used to calculate the Pourbaix diagrams in order to understand the chemical behaviour of the etching solutions. The pH can have a significant impact on the etching behaviour. In case of TiO2, it can be dissolved in an alkaline solution of H2O2. The catalytically process behind this is evaluated. Etching rate for both Y2O3 andSiO2 were matched by adjusting the etchant concentration as a case example. The group IVB oxides are difficult to etch. The catalytic etching of TiO2 with peroxide is slow but detectable. Al2O3, Y2O3 and MgO are reasonably easy to etch but have too low refractive indices to be useful in multilayer optical filters. The In-situ etching instrument was found to be very useful for measuring etching rates.
Utvärdering av våtkemiska egenskaper för flera olika oxidtunnfilmer utfördes idetta arbete på tunnfilmer av MgO, Al2O3, SiO2, TiO2, HfO2 ZrO2 and Y2O3 vakuumdeponerade på både kiselwafers och borosilikatglas. Etstester gjordes med ett flertal etslösningar. Även MgF2-tunnfilmer utvärderades. Både optiska och kemiska egenskaper togs i beaktande vid utvärderingen av tunnfilmerna. De optiska lagar som gäller för tunnfilmer redovisas, bl a hur kombinationer av olika oxider kan skapa interferrensfilter. En beskrivning av tillverkningsprocessen varvid PVD användes presenteras. Termiskt skift av det optiska transmissionsspektrat orsakat av porositet undersöktes. Analyser av tunnfilmerna med ellipsometri, profilometri och transmissions spektroskopi utfördes. Våtetsningsegenskaperna utvärderades genom att mäta in-situ vid etsprocessen på transparenta borosilikatglassubstrat. Metoden för att mäta etshastigheten för olika oxider är beskriven. Datorberäkningar av pourbaixdiagram användes för att skapa en förståelse av de kemiska egenskaperna för etslösningarna. Etsegenskaperna påverkas till stordel av lösningens pH. TiO2 kan etsas i basisk lösning av peroxid. Denna process utvärderades, likaså utvärderades etshasigheten för Y2O3 och SiO2 för att erhålla matchande par avoxider som en fallstudie. Grupp IVB oxiderna är mycket svåra att etsa. Katalytisk etsning av TiO2 med peroxid är detekterbar men långsam. Al2O3, Y2O3 och MgO är förhållandevis enkla att etsa men har för låga brytningsindex för att var praktiskt använbara i optiska multilagerfilter. In-situ etsinstrumentet befanns vara ett utmärkt verktyg för att mäta etshastigheten för tunnfilmer.
12

Chen, Hsin-Yi. "Inductively coupled plasma etching of InP." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2000. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape4/PQDD_0021/MQ54126.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Ganguli, Satyajit Nimu. "A kinetic study of chromium etching /." Thesis, McGill University, 1988. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=63943.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Artoni, Pietro. "Silicon Nanowires by Metal Assisted Etching." Doctoral thesis, Università di Catania, 2013. http://hdl.handle.net/10761/1431.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Group-IV semiconductor nanowires (NWs) are attracting interest among the scientific community as building blocks for future nanoscaled devices. Different techniques are currently used for Si NWs preparation, the bottom-up vapor-liquid-solid (VLS) mechanism or the top-down approach which uses the electron beam lithography (EBL). Moreover, literature shows that in the last few years Metal-assisted chemical etching (MACEtch) has become a powerful technique to obtain high density and low-cost Si NWs with high and controllable aspect ratio. It consists of an etching of a Si substrate in a solution containing dihydrogen peroxide, hydrogen fluoride and a metallic salt. Instead of using metallic salts as catalysts (which leave metallic dendrites over the NWs after the etching process), ultrathin films of gold or silver have been evaporated at room temperature on a Si surface, and then etching has been performed. By using for the first time ultra thin films of gold or silver as catalysts for the etch, their main size becomes less than 10 nm, allowing quantum confinement effects. A Si core - SiO2 shell structure is obtained and it is possible to tune the core of the NWs scaling them down to 5 nm. Both energy filtered TEM analyses and Raman analyses strictly confirm these data. Also, a more complex system has been realized, indeed by etching a multi quantum well made by stacks of 1 nm thick Ge and 54 nm thick Si it is possible to fabricate Si/Ge MQW NWs. In this way a structure made of Si NWs which confines carriers in two dimensions (leaving them free on the third one), and a structure of Ge dots (which confines carriers in three dimensions) can be obtained. In literature, a Si NWs system which is natively suitable for photonics is still lacking. All the attempts made by oxidizing VLS grown or EBL made silicon nanowires reported in literature gave poor results. Obtaining light from Si NWs at room temperature under optical and electrical pumping is still a big challenge and would have a tremendous impact on silicon photonics. This thesis demonstrates that both MACetch Si NWs and Si/Ge MQW NWs are suitable for photonic applications. It will be shown a detailed and complete study of the excitation and de-excitation properties as a function of the temperature and of the pump power, determining the excitation cross section, and both presence and origin of possible non-radiative phenomena. A light emitting device based on Si NWs has been realized, showing the efficient electroluminescence emission at room temperature in the red (700 nm) under low voltage pumping. Finally, we realized Si/Ge NWs by the same synthesis approach, in order to obtain different confined structures of both Si and Ge inside each NW. Photoluminescence emission properties of Si/Ge NWs have been studied at room temperature. The last part of the thesis deals with the optical trapping of the single MACetch Si NW. Optical trapping (OT) of nanostructures has acquired tremendous momentum in the past few years. Manipulating nanoparticles with OT is generally difficult because radiation forces scale approximately with particle volume and thermal fluctuations can easily overwhelm trapping forces at the nanoscale. Hence, the role of size-scaling is crucial for understanding the interplay between optical forces and hydrodynamic interactions that change dramatically with size, therefore much affecting both force-sensing and spatial resolution in precision applications. A detailed study on how optical trapping and Brownian motion of very thin Si NWs depending on their size has been performed. The NWs length is the key parameter that regulates forces, torques, and hydrodynamics. The core of the last chapter fully characterizes the three-dimensional translational and angular Brownian motion, deals with the measure of the root-mean-square displacements and shows the different size-scaling due to the interplay between radiation forces defining the trapping potential and hydrodynamics.
15

Heinrich, David Klinger Max. "Max Klinger's Intermezzi : a critical analysis /." Title page, contents and abstract only, 2002. http://web4.library.adelaide.edu.au/theses/09ARM/09armh469.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Steiner, Pinckney Alston IV. "Anisotropic low-energy electron-enhanced etching of semiconductors in DC plasma." Thesis, Georgia Institute of Technology, 1993. http://hdl.handle.net/1853/27060.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Perng, John Kangchun. "High Aspect-Ratio Nanoscale Etching in Silicon using Electron Beam Lithography and Deep Reactive Ion Etching (DRIE) Technique." Thesis, Georgia Institute of Technology, 2006. http://hdl.handle.net/1853/11543.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
This thesis reports the characterization and development of nanolithography using Electron Beam Lithography system and nanoscale plasma etching. The standard Bosch process and a modified three-pulse Bosch process were developed in STS ICP and Plasma ICP system separately. The limit of the Bosch process at the nanoscale regime was investigated and documented. Furthermore, the effect of different control parameters on the process were studied and summarized in this report. 28nm-wide trench with aspect-ratio of 25 (smallest trench), and 50nm-wide trench with aspect ratio of 37 (highest aspect-ratio) have been demonstrated using the modified three-pulse process. Capacitive resonators, SiBAR and IBAR devices have been fabricated using the process developed in this work. IBARs (15MHz) with ultra-high Q (210,000) have been reported.
18

Pal, P., K. Sato, M. A. Gosalvez, M. Shikida, and 一雄 佐藤. "An improved anisotropic wet etching process for the fabrication of silicon MEMS structures using a single etching mask." IEEE, 2008. http://hdl.handle.net/2237/11137.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Krautschik, Christof Gabriel 1957. "Impedance determination of a RF plasma discharge by external measurements." Thesis, The University of Arizona, 1989. http://hdl.handle.net/10150/277141.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
The equivalent impedance of a RF plasma was experimentally determined by monitoring the voltage and current waveform for different input powers in real time. Average ion energies and fluxes were determined by a computer model which takes ion collisions in the sheath regions into account. In addition two models were proposed which explain how RF energy is converted to DC potential energy in the sheath. Etch rates of Si in a CF₄ discharge were also evaluated and related to the measurements.
20

Morris, Bryan George Oneal. "In situ monitoring of reactive ion etching." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2009. http://hdl.handle.net/1853/31688.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Thesis (Ph.D)--Electrical and Computer Engineering, Georgia Institute of Technology, 2010.
Committee Chair: May, Gary; Committee Member: Brand,Oliver; Committee Member: Hasler,Paul; Committee Member: Kohl,Paul; Committee Member: Shamma,Jeff. Part of the SMARTech Electronic Thesis and Dissertation Collection.
21

Carlström, Carl-Fredrik. "Ion beam etching of InP based materials." Doctoral thesis, KTH, Microelectronics and Information Technology, IMIT, 2001. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3160.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:

Dry etching is an important technique for pattern transferin fabrication of most opto-electronic devices, since it canprovide good control of both structure size and shape even on asub-micron scale. Unfortunately, this process step may causedamage to the material which is detrimental to deviceperformance. It is therefore an objective of this thesis todevelop and investigate low damage etching processes for InPbased devices.

An ion beam system in combination with hydrocarbon (CH4) based chemistries is used for etching. At variousion energies and gas flows the etching is performed in twomodes, reactive ion beam etching (RIBE) and chemical assistedion beam etching (CAIBE). How these conditions affect both etchcharacteristics (e.g. etch rates and profiles, surfacemorphology and polymer formation) and etch induced damage (onoptical and electrical properties) is evaluated and discussed.Attention is also paid to the effects of typical post etchingtreatments such as annealing on the optical and electricalproperties. An important finding is the correlation betweenas-etched surface morphology and recovery/degradation inphotoluminescence upon annealing in PH3. Since this type of atmosphere is typical forcrystal regrowth (an important process step in III/Vprocessing) a positive result is imperative. A low ion energy N2/CH4/H2CAIBE process is developed which not onlysatisfies this criteria but also exhibits good etchcharacteristics. This process is used successfully in thefabrication of laser gratings. In addition to this, the abilityof the ion beam system to modify the surface morphology in acontrollable manner is exploited. By exposing such modifiedsurfaces to AsH3/PH3, a new way to vary size and density of InAs(P)islands formed on the InP surfaces by the As/P exchangereaction is presented.

This thesis also proposes a new etch chemistry, namelytrimethylamine ((CH3)3N or TMA), which is a more efficient methyl sourcecompared to CH4because of the low energy required to break the H3C-N bond. Since methyl radicals are needed for theetching it is presumably a better etching chemistry. A similarinvestigation as for the CH4chemistry is performed, and it is found that bothin terms of etch characteristics and etch induced damage thisnew chemistry is superior. Extremely smooth morphologies, lowetch induced damage and an almost complete recovery uponannealing can be obtained with this process. Significantly,this is also so at relatively high ion energies which allowshigher etch rates.

Keywords:InP, dry etching, ion beam etching, RIBE,CAIBE, hydrocarbon chemistry, trimethylamine, As/P exchangereaction, morpholoy, low damage, AFM, SCM, annealing

22

Mörsdorf, Alexander. "Metal-assisted etching of nanopores in silicon." Thesis, KTH, Skolan för informations- och kommunikationsteknik (ICT), 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-177359.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Nanoporous membranes are an interesting approach to manufacture a variety of devices for different applications. For example in biomedicine the separation of molecules or cells or the sequence-based analysis of single-stranded DNA are of great interest. Based on silicon membranes, a promising method to achieve pores with a high aspect ratio is metal-assisted etching, where noble metal particles serve as catalysts for the oxidation of the underneath Si, which is subsequently removed by hydrofluoric acid. This thesis project deals with developing a method, based on wet chemical etching of nanopores into a silicon membrane, utilizing noble metal particles as catalysts. The main goal was to investigate if it is possible to achieve straight channels perpendicular to the substrate surface with approximately the same diameter as the particle size. Therefore, the etching behaviour of gold, silver and platinum nanoparticles with different diameters on various substrates and etching solutions has been investigated. First the optimal substrate and etching solution for defined pore growth were determined using gold nanoparticles. Long-time measurements have been conducted showing a saturation of the etch speed and square aperture growth after a few hours. Next the etching reaction was enhanced with adding HCl and applying a voltage and it was found that the particle concentration has an influence on the orientation of the pores. After showing only erratic movement in the beginning, erect pores with a maximum aspect ratio of ~ 20 could be manufactured using CTAB coated particles. After that silver particles have been investigated. Initially, the optimal compositions for synthesis and etching solution were determined. Then the behaviour for long-time immersion was investigated, implicating that the pores grow fast and constantly within the first hour. In the end, highly straight pores with aspect ratios of ~ 1000 were etched. But due to the high particle concentration, the surface was badly affected by strong etching, as well. For both noble metals, diluting the etching solution and thus slowing down the etching reaction resulted in more oriented pore growth. For the platinum particles, however, no promising results could be achieved, because platinum seems to be a too strong catalyst for the etching reaction.
23

Bahreyni, Behraad. "Deep etching of silicon with xenon difluoride." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2001. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/MQ62689.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Zhu, Hongbin. "Control of Plasma Etching of Semiconductor Surfaces." Diss., Tucson, Arizona : University of Arizona, 2005. http://etd.library.arizona.edu/etd/GetFileServlet?file=file:///data1/pdf/etd/azu%5Fetd%5F1354%5F1%5Fm.pdf&type=application/pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Montano, Gerardo. "Gas Phase Etching of Silicon Dioxide Films." Diss., Tucson, Arizona : University of Arizona, 2006. http://etd.library.arizona.edu/etd/GetFileServlet?file=file:///data1/pdf/etd/azu%5Fetd%5F1453%5F1%5Fm.pdf&type=application/pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Carlström, Carl-Fredrik. "Ion beam etching of InP based materials /." Stockholm, 2001. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3160.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Jamali, Arash. "Etching of wood by glow-discharge plasma." Thesis, University of British Columbia, 2011. http://hdl.handle.net/2429/39882.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
In this thesis I hypothesize that plasma will etch wood surfaces, produce new cell wall microstructures, and change the surface chemistry of wood because of differential etching of wood’s polymeric constituents. I also examine factors affecting the etching of wood by plasma, and applications of plasma etching for wood processing. Scanning electron and light microscopy and white light confocal profilometry were used to examine etching of wood surfaces. Wet chemical analysis, FTIR and XPS spectroscopy were used to analyze chemical changes at the surface of plasma-treated wood. Experiments were also performed to examine the effect of plasma treatments on the color of blue-stained wood, the morphology of fungal hyphae and the adhesion and performance of coatings on hot-oil modified wood. Exposure of wood to plasma caused etching of wood cell walls and created new surface microstructures. Regions of cell walls that were rich in lignin such as the middle lamella were etched more slowly by plasma. Confocal profilometry of wood exposed to plasma revealed a strong relationship between plasma treatment time and etching of cell walls, and same technique found that lignin pellets were etched more slowly than cellulose pellets. Plasma reduced the levels of carbohydrate at the surface of modified wood, which resulted in a relative increase in lignin content. Plasma treatment improved the effectiveness of hypochlorite bleach at removing blue-stain from wood and it prevented the discoloration of a white acrylic paint on hot-oil modified wood exposed to natural weathering. However, plasma treatment of hot-oil modified wood did not have positive effects on the adhesion and exterior performance of a range of other coatings (mainly semi-transparent stains). I conclude that prolonged exposure to plasma can etch wood cell walls, but cell wall layers that are rich in lignin are degraded more slowly. Plasma etching of wood mainly depends on treatment time and also on the structure and chemical composition of wood. Plasma treatment is an efficient pre-treatment for bleaching of blue-stained wood and reducing the discoloration of white acrylic paint on hot-oil modified wood.
28

Flake, John Christopher. "Photoelectrochemical etching of silicon in nonaqeous electrolytes." Diss., Georgia Institute of Technology, 1999. http://hdl.handle.net/1853/13278.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Duan, Xuefeng 1981. "Microfabrication : using bulk wet etching with TMAH." Thesis, McGill University, 2005. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=97942.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
In November 2002 a Microfabrication Lab was established in the physics department of McGill University to support research in nanoscience and technology. At the same time, I arrived at McGill to begin my graduate study. So I was assigned to do research on microfabrication, especially bulk wet etching of silicon using TetraMethyl Ammonium Hydroxide (TMAH).
The content of microfabrication is quite broad, and also very useful in both industry and academic. Since our fab is a newly built one and I had no experience in this area before, this thesis mainly included some basic processes in microfabrication, such as the photolithography, wet etching, reactive ion etching, and soon. Also it compared the wet etching with dry etching. Some results of TMAH wet etching were showed in the thesis, which agreed well with that of the other groups. A simulation program was developed to predict the etching result of TMAH and it appeared to work well. Finally, based on the knowledge and experience acquired, processes in making cantilever and tip structures, which are critical in the scanning probe microscopes, were developed. Silicon oxide cantilevers with length of 100-200 mum, width of 30-50 mum, and thickness of 1 mum were obtained. Pyramid like silicon tips were also fabricated using the wet etching.
30

Ashraf, Huma. "Anisotropic etching of silicon using SF6 plasmas." Thesis, Imperial College London, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.404383.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Banks, Peter Michael. "Dry etching and materials in semiconductor fabrication." Thesis, University of Oxford, 1989. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.236122.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Sucksmith, John Peter. "Studies of plasmas used for semiconductor etching." Thesis, University of Oxford, 1993. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.335818.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Schudel, David. "The pulsed laser etching of polymer films." Thesis, University of Hull, 1991. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.259790.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Chan, Kwong. "Physical and chemical etching of textile materials." Thesis, University of Salford, 1992. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.305646.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Tissington, Bryan. "Surface etching studies of highly drawn polyethylenes." Thesis, University of Leeds, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.396422.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Krueger, Charles Winslow. "Chemical vapor etching of GaAs by CH3I." Thesis, Massachusetts Institute of Technology, 1994. http://hdl.handle.net/1721.1/37507.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Pruette, Laura C. (Laura Catherine) 1974. "Non-perfluorocompound chemistries for dielectric etching applications." Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/50031.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Goodlin, Brian E. 1974. "Multivariate endpoint detection of plasma etching processes." Thesis, Massachusetts Institute of Technology, 2002. http://hdl.handle.net/1721.1/8498.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
Thesis (Ph.D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 2002.
Includes bibliographical references.
In plasma etching process it is critical to know when the film being etched has cleared to the underlying film, i.e. to detect endpoint, in order to achieve the desired device performance in the resulting integrated circuit. The most highly utilized sensor technology for determining endpoint has historically been optical emission spectroscopy (OES), because it is both non-invasive and highly sensitive to chemical changes in the reactor. Historically, the intensity of one emission peak corresponding to a reactant or product in the etch process was tracked over time, leading to a single-wavelength endpoint trace. At endpoint, the concentrations of reactant and product species undergo a step change that is detectable in the optical emission endpoint trace for many plasma etching processes. Unfortunately, for several critical etching steps (contact and via), the exposed area of the film being etched is very low (<1%, with the rest being masked with photoresist),. and this traditional method of endpoint detection has failed because of the low signal-to-noise ratio at endpoint. Our work has provided a way to improve the endpoint detection sensitivity by a factor of approximately 5-6, so that endpoint can be adequately detected for these low open area etching steps. By utilizing CCD array detection for OES sensors, it is possible to rapidly collect (2-10 Hz) full spectral data (200-900 nm in wavelength), consisting of over 1000 discrete wavelength channels from a plasma etching process. By appropriately utilizing this multi-wavelength data, we have been able to achieve significant improvements in sensitivity. Our work has focused on characterizing, analyzing, and developing new multivariate (multi-wavelength) strategies to optimize the sensitivity of the endpoint detector.
(cont.) This thesis provides a thorough comparison of several different multivariate techniques for improving endpoint detection sensitivity and robustness, both experimentally and theoretically. The techniques compared include: 1) multivariate statistical process control metrics such as Hotelling's T2; 2) chemometrics techniques such as principal component analysis (PCA) and T2 and Q statistics based on PCA, evolving window factor analysis (EWFA); 3) discriminant analysis; and 4) a new methodology called the Multi-wavelength statistic weighted by Signal-to-Noise ratio or MSN Statistic. A quantitative methodology based on signal-to-noise analysis was employed to compare the various techniques. Following this type of analysis, the MSN statistic was developed to theoretically provide the optimal improvement in endpoint detection sensitivity given certain assumptions about the nature of the noise in the data. Applying the MSN statistic to experimentally collected endpoint data confirmed that it did give superior results. By utilizing information about the direction (in the multivariate space) of endpoint from prior runs, the MSN statistic showed significant improvement over the traditional multivariate T2 statistic, that does not use any prior knowledge for detection. Another important aspect of the work was in characterizing the nature of multivariate noise, and understanding how different multivariate algorithms treat the different forms of multivariate noise. In general, we found that multivariate noise could be broadly classified into two components ...
by Brian E. Goodlin.
Ph.D.
39

Pugh, C. J. "End point detection in reactive ion etching." Thesis, University College London (University of London), 2013. http://discovery.ucl.ac.uk/1398304/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
End-point detection for deep reactive ion etch of silicon in the semiconductor industry has been investigated with a focus on statistical treatments on optical emission spectroscopy. The data reduction technique Principal components analysis (PCA) has been briefly reviewed and analysed as an introduction to independent component analysis (ICA). ICA is a computational dimension reduction technique capable of separating multivariate data into single components. In this instance PCA and ICA are used in to combine the spectral channels of optical emission spectroscopy of plasma processes into a reduced number of components. ICA is based on a fixed-point iteration process maximizing non-gaussianity as a measure of statistical independence. ICA has been shown to offer an improvement in signal to noise ratio when compared to principal component analysis, which has been widely used in previous studies into end-pointing. In addition to the end-point investigation, a study was carried out into the fabrication of arrays of free standing silicon nanorods. The fabrication process consisted of an electron beam lithograpy stage to pattern bare silicon, followed by a deep reactive ion etch - using the Bosch process - to create the nanorods. A variety of difference diameter nanorods, with a selection of pitch dimensions were created using this technique.
40

Yildirim, Alper. "Development Of A Micro-fabrication Process Simulator For Micro-electro-mechanical-systems(mems)." Master's thesis, METU, 2005. http://etd.lib.metu.edu.tr/upload/12606850/index.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
ABSTRACT DEVELOPMENT OF A MICRO-FABRICATION PROCESS SIMULATOR FOR MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) Yildirim, Alper M.S, Department of Mechanical Engineering Supervisor: Asst. Prof. Dr. Melik Dö
len December 2005, 140 pages The aim of this study is to devise a computer simulation tool, which will speed-up the design of Micro-Electro-Mechanical Systems by providing the results of the micro-fabrication processes in advance. Anisotropic etching along with isotropic etching of silicon wafers are to be simulated in this environment. Similarly, additive processes like doping and material deposition could be simulated by means of a Cellular Automata based algorithm along with the use of OpenGL library functions. Equipped with an integrated mask design editor, complex mask patterns can be created by the software and the results are displayed by the Cellular Automata cells based on their spatial location and plane. The resultant etched shapes are in agreement with the experimental results both qualitatively and quantitatively. Keywords: Wet Etching, Anisotropic Etching, Doping, Cellular Automata, Micro-fabrication simulation, Material Deposition, Isotropic Etching, Dry Etching, Deep Reactive Ion Etching
41

Özel, Mehmet Ozan [Verfasser]. "Entstehung von White Etching Areas und White Etching Cracks als Folge der Wälzbeanspruchung im Stahl 100Cr6 / Mehmet Ozan Özel." Aachen : Shaker, 2018. http://d-nb.info/1186590483/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Rieger, Melissa Marie. "The electrochemical etching of silicon in nonaqueous solutions." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/10214.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Hendricks, Douglas Ray 1958. "REACTIVE ION ETCHING OF GALLIUM-ARSENIDE AND ALUMINUM-GALLIUM - ARSENIDE USING BORON TRICHLORIDE AND CHLORINE." Thesis, The University of Arizona, 1987. http://hdl.handle.net/10150/276394.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Pournik, Maysam. "Laboratory-scale fracture conductivity created by acid etching." [College Station, Tex. : Texas A&M University, 2008. http://hdl.handle.net/1969.1/ETD-TAMU-2361.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Smith, Scott Alan. "INDUCTIVELY COUPLED PLASMA ETCHING OF III-N SEMICONDUCTORS." NCSU, 2002. http://www.lib.ncsu.edu/theses/available/etd-05082002-162142/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
The principal focus of this research was the employment of an in-house designed and constructed inductively coupled plasma (ICP) system for integrated studies pertaining to the etching rates and etching selectivity among AlN, GaN, and Al(x)Ga(1-x)N. An (ICP) system was chosen because of its high plasma density and low cost relative to other high-density plasma etching systems. The etch rates were studied as a function of ICP power, pressure, DC bias, and gas composition. The use of a mixture of 2 sccm BCl3 and 18 sccm Cl2 resulted in a maximum etch rate of 2.2 microns/min for GaN as well as nearly vertical sidewalls with proper masking. A selectivity value, i.e. the ratio of the etch rates between two materials, as high as 48 was achieved between GaN and AlN with the addition of low concentrations of O2 to a Cl2/Ar chemistry. The use of another selectivity technique, namely, low DC biases resulted in a maximum selectivity of 38. The mechanisms responsible for the GaN etching were determined by monitoring both the ion density with a Langmuir probe and the relative Cl radical density with an optical emission spectrometer. Increasing the ion density resulted in a non-linear increase in the etch rates; increasing the Cl radical density had a minim al affect on etch rate.
46

Ning, Rong-Chun, and 甯榮椿. "Etching of SixNy and TiN Usning Inductively-Coupled Plasma Reactive Ion Etching: Study of Selectivity and Etching Rate of TiN with SC1 Wet Etching." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/06657099658319035261.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
碩士
國立清華大學
材料科學工程學系
98
In order to make the lightly doped drain region structure Ⅲ-Ⅴ MOSFET self-aligned process well-controlled, information about dry etching and wet etching must be investigated. In this thesis, the dry etching of PECVD-SixNy and sputtered TiN was performed with inductively-coupled plasma reactive ion etching system to ascertain the etching rates and selectivity of SixNy to TiN. Wet etching rates of sputtered TiN, in-situ ALD-Al2O3, PECVD-SixNy with SC1 solution were also demonstrated. With the etching chemistry CHF3/O2 whose flow rate was 20/10 SCCM, the highest selectivity of SixNy to TiN as 9.0 was demonstrated with Prf = 200 W, Pbias = 10 W. The etching rates of SixNy and TiN were 170.1 and 18.9 separately in this condition. In addition, the opposite tendencies of etching rate with increasing rf power between SixNy and TiN were explained. For SixNy, high rf power discharged more gaseous etchants, resulting in the reduction of large amount of O2 volumes. Therefore, the fluorocarbon polymer film which was deposited during etching process could be removed with much less O2 and then the etching rate of SixNy would decrease. For TiN, high rf power discharged more gaseous etchants and generated more ions to bombard the TiN surface. This removed TiN dry etching solid byproducts faster, so it enhanced the etching rate. The same mechanism could also explain tendencies of etching rate with increasing bias power of SixNy and TiN. As for wet etching, the etching rate of TiN with SC1 solution was about 9.1 nm/min, while Al2O3 and SixNy etched little with SC1. it meant the damage of Al2O3 gate oxide and SixNy sidewall spacer didn’t need to be worried about. Besides, principles of film deposition instruments, plasma physics, and etching reaction were introduced in this thesis.
47

Ueng, Shih-Yuan, and 翁士元. "Study of Etching Damages Induced by Reactive-Ion-Etching and Electron Cyclotron Resonance Etching on the Silicon Substrates." Thesis, 1995. http://ndltd.ncl.edu.tw/handle/54938753342994590641.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
博士
國立交通大學
電子研究所
83
In this thesis, we study the properties of thin oxides thermally grown on reactive-ion-etched (RIE) silicon substrates in N2O and diluted O2 ambient. The interface microroughness is strongly dependent on the RIE conditions and the post etching treatments. Furthermore, using the after-treatment-chamber (ATC) process, CF4 addition in the O2 plasma can further improve the time-zero-to-dielectric-breakdown (TZDB) characteristics of the thin oxides as compared with pure O2 plasma. As compared with pure oxides grown on the etched silicon in dry oxygen, N2O-grown oxides exhibit significantly stronger immunity to the RIE- induced damages. N2O oxidation of the etched specimens treated with a proper after-treatment- chamber (ATC) process result in the best electrical properties, including TZDB and time- dependent-dielectric-breakdown (TDDB) characteristics. In addition, the SiO2 and silicon substrate damages produced by Cl2- and HBr-based electron cyclotron resonance (ECR) plasma etching after the poly-Si overetch has been investigated. The current-voltage (I-V) characteristics of the Schotthy barrier diodes are formed on the plasma damaged silicon substrates to characterize the influence of the plasma exposure.
48

Chuang, Tzung-po, and 莊宗伯. "Dry etching of AlGaInP by using inductively coupled plasma etching system." Thesis, 2000. http://ndltd.ncl.edu.tw/handle/00039324014727801283.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
碩士
國立交通大學
電子物理系
88
In this thesis, we study the dry etch of the AlGaInP by using inductively coupled plasma (ICP) etching system. In this study, we use Cl2 and BCl3 as the etching gases. According to the etching results, when the mix ratio is 1:1, we can get the maximum etching rate. Because of indium component in the sample, the resulting product InClx which is involatile and adsorptive on the sample surface leads to a cease of etching. In order to minimize the InClx, we add the CH4 gas in the process to produce the In(CH3)3 compound which is much more volatile. Meanwhile, CH4 will creat the polymer in the surface and lead to the decreasing of etching rate. Considering the etching effect of DC bias, we found that the etching rate will increase with DC bias. The sample surface morphology is optimized as the DC bias is around 200V.
49

陳美戎. "Dry etching of GaN by using inductively coupled plasma etching system." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/31141891547563392048.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
碩士
國立交通大學
電子物理系
89
In this thesis, we used inductively coupled plasma (ICP) etching system to etch GaN thin films and studied the effect of various experimental factors controlling the etching process. GaN-based semiconductor has been widely used for the fabrication of light-emitting diodes (LED), laser diodes (LD), and UV detectors on the photonic application and microwave power switches on the electronics application. The plasma etching technique has become the major patterning technique for the group-III nitrides due to the shortcomings in wet chemical etching. The dry etch characteristics are often dependent on plasma parameters including pressure, bias, and pressure. The etch rates, etch profile and etched surface morphology which are confirmed deposition of inter-level dielectric or passivation films, or epitaxial regrowth. In this study, we use Cl2 and BCl3 as the etching gases. For our experimental data, when the mix true ratio is 18:2(Cl2 : BCl3), we can get the maximum etching rate. The adding of CH4 gas in the process can improve the surface morphology of etched sample. For the factor of DC bias, we found that the etching rate will increase and surface morphology can be improved as the DC bias is increasing.
50

Lin, Chi Hsing, and 林集祥. "Research on Etching rate Improvement for Reactive-Ion-Etching in TFT-LCD." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/21242900157113307939.

Full text
APA, Harvard, Vancouver, ISO, and other styles
Abstract:
碩士
國立交通大學
平面顯示技術碩士學位學程
100
Plasma technology has already widely been used in the TFT LCD panel manufacturing process. Such as dry etching, thin films deposited, and stripper…etc. Those are related to Plasma technology. The advantage of dry etching is well controlled in microstructure. Taiwan has the advantage in semiconductor manufacture and knowledge. With the strong foundation and know-how that could smoothly transferred to the TFT LCD manufacturing process and lead to well-controlled in the line width, depth-width ratio (aspect ratio), surface roughness, via angle control…etc., and also with better performance in electrical characteristics. In this thesis, we establish an experimental model of plasma etching mechanism. We use the chemical gases CL2/BCL3 on aluminum layer for Poly silicon in the Reactive Ion Etching machine (RIE) to do dry etching as our model. The plasma etching device parameters include: chamber pressure power, the electrode sealing rate, CLAMP height, the etch rate, and uniform degree of relationship. The method is using Taguchi methods to observe the relation with plasma equipment and the etching rate and uniformity. The results show: The major impact of etching rate is plasma power, and then followed by pressure, sealing rate, the last one is CLAMP. The major impact of uniformity is sealing rate, and then followed by CLAMP, pressure, the last one is plasma power. Plasma power also increases the plasma density, Due to dissociation rate rise will make the chlorine atom concentration and the etching rate increases. Furthermore, because the substrate is a square, in the past, etching speed rate in the middle of the substrate is faster than outside of substrate. Sealing rate will change the uniformity of the plasma and will make etching rate reach to balance. Finally, increasing the height of CLAMP let the plasma concentration on the substrate, thus achieving the homogenization and optimization.

To the bibliography