Journal articles on the topic 'Electrical dopant activation'

To see the other types of publications on this topic, follow the link: Electrical dopant activation.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Electrical dopant activation.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Kennedy, Noel, Ray Duffy, Luke Eaton, Dan O’Connell, Scott Monaghan, Shane Garvey, James Connolly, Chris Hatem, Justin D. Holmes, and Brenda Long. "Phosphorus monolayer doping (MLD) of silicon on insulator (SOI) substrates." Beilstein Journal of Nanotechnology 9 (August 6, 2018): 2106–13. http://dx.doi.org/10.3762/bjnano.9.199.

Full text
Abstract:
This paper details the application of phosphorus monolayer doping of silicon on insulator substrates. There have been no previous publications dedicated to the topic of MLD on SOI, which allows for the impact of reduced substrate dimensions to be probed. The doping was done through functionalization of the substrates with chemically bound allyldiphenylphosphine dopant molecules. Following functionalization, the samples were capped and annealed to enable the diffusion of dopant atoms into the substrate and their activation. Electrical and material characterisation was carried out to determine the impact of MLD on surface quality and activation results produced by the process. MLD has proven to be highly applicable to SOI substrates producing doping levels in excess of 1 × 1019 cm−3 with minimal impact on surface quality. Hall effect data proved that reducing SOI dimensions from 66 to 13 nm lead to an increase in carrier concentration values due to the reduced volume available to the dopant for diffusion. Dopant trapping was found at both Si–SiO2 interfaces and will be problematic when attempting to reach doping levels achieved by rival techniques.
APA, Harvard, Vancouver, ISO, and other styles
2

Wang, Xiqiao, Joseph A. Hagmann, Pradeep Namboodiri, Jonathan Wyrick, Kai Li, Roy E. Murray, Alline Myers, et al. "Quantifying atom-scale dopant movement and electrical activation in Si:P monolayers." Nanoscale 10, no. 9 (2018): 4488–99. http://dx.doi.org/10.1039/c7nr07777g.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Chung, Suk, Shane R. Johnson, Ding Ding, Yong-Hang Zhang, David J. Smith, and Martha R. McCartney. "Quantitative Analysis of Dopant Distribution and Activation Across p-n Junctions in AlGaAs/GaAs Light-Emitting Diodes Using Off-Axis Electron Holography." IEEE Transactions on Electron Devices 56, no. 10 (September 2009): 1919–23. http://dx.doi.org/10.1109/ted.2009.2025914.

Full text
Abstract:
Off-axis electron holography has been used to measure the electrostatic potential profile across the p-n junction of an AlGaAs/GaAs light-emitting diode with linearly graded triangular AlGaAs barriers. Simulations of the junction profile showed small discrepancies with experiment when the nominal dopant concentrations of Si and Be impurities were used. Revised simulations reproduced the measurements reasonably using reduced dopant levels that reflected the efficiency of dopant activation. Band-edge diagrams simulated with the nominal and revised dopant concentrations were also compared in terms of the effect that activation efficiency had on the AlGaAs barrier shape and carrier transport. It is concluded that electron holography measurements combined with modeling offer device designers and growers a helpful tool for analyzing and confirming doping profiles in complex heterostructures.
APA, Harvard, Vancouver, ISO, and other styles
4

Weber, W. J., C. W. Griffin, and J. L. Bates. "Electrical and thermal transport properties of the Y1 − x Mx CrO3 system." Journal of Materials Research 1, no. 5 (October 1986): 675–84. http://dx.doi.org/10.1557/jmr.1986.0675.

Full text
Abstract:
The effects of substituting divalent metal ions (Mg, Ca, Sr, Ba) for Y in YCrO3 were investigated by electrical conductivity, Seebeck coefficient, and thermal conductivity measurements. The electrical conductivity results were consistent with the hopping-type conduction of a temperature-independent concentration of small polarons, with measured activation energies of 0.18-0.26 eV. The Seebeck coefficient increased nearly linearly with temperature and indicated p-type conductivity. Both electrical conductivity and Seebeck coefficient results show a strong dependence on dopant size (ionic radius) and indicate that the highest carrier concentrations were associated with Ca as the dopant, which is attributed to the similar ionic radii of Ca2+ and Y3+. The thermal conductivity decreased slightly with temperature and dopant concentration.
APA, Harvard, Vancouver, ISO, and other styles
5

Ranchoux, B., and J. F. Currie. "Étude des corrélations entre paramètres de préparation, caractéristiques électriques et physico-chimiques d'échantillons de a-Si : H dopés ou non." Canadian Journal of Physics 63, no. 1 (January 1, 1985): 54–58. http://dx.doi.org/10.1139/p85-009.

Full text
Abstract:
Using linear and second-degree regression, we have studied correlations between measurements of electrical conductivity, activation energy, concentration of the various elements (hydrogen, carbon, oxygen, argon, and eventually a dopant: indium, thalium, or antimony), thickness, and some preparation parameters: deposition temperature, mass flow ratio between the various gases intervening in the plasma, and deposition time. We have shown that the deposition rate is the only parameter, in the range studied, that plays an important role for the composition of the intrinsic films. The other parameters, viz. flow ratios of the various gases present in the mixture, temperature, have a limited influence on the composition and the electrical characteristics. The chemical composition measurements have been directly correlated with the electrical measurements. The introduction of a doping metal in the material modifies not only its opto-electrical characteristics, but generally its hydrogen content. Our process of doping by evaporation follows the "universal" behaviour of metals from columns II and V, already known in hydrogenated amorphous silicium. For the p and n films, we have observed that the hydrogen concentration and the width of the energy gap increase first with the dopant concentration and decrease afterwards. The presence of a dopant in the amorphous material leads to a disorder restructuring that changes the chemical composition more than the density of states in the energy gap.
APA, Harvard, Vancouver, ISO, and other styles
6

Brandt, Matthias, Holger von Wenckstern, Christoph Meinecke, Tilman Butz, Holger Hochmuth, Michael Lorenz, and Marius Grundmann. "Dopant activation in homoepitaxial MgZnO:P thin films." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 27, no. 3 (2009): 1604. http://dx.doi.org/10.1116/1.3086657.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Cifuentes, N., E. R. Viana, H. Limborço, D. B. Roa, A. Abelenda, M. I. N. da Silva, M. V. B. Moreira, G. M. Ribeiro, A. G. de Oliveira, and J. C. González. "Electrical Properties of Polytypic Mg Doped GaAs Nanowires." Journal of Nanomaterials 2016 (2016): 1–5. http://dx.doi.org/10.1155/2016/9451319.

Full text
Abstract:
The electrical transport properties of individual Mg doped GaAs nanowires are investigated. It is shown that Mg can be successfully used as a nontoxic p-type dopant in GaAs nanowires. The doping levels, expanding over two orders of magnitude, and free holes mobility in the NW were obtained by the analysis of field effect transistors transfer curves. The temperature dependence of the electrical resistivity above room temperature shows that the polytypic structure of the NWs strongly modifies the NWs charge transport parameters, like the resistivity activation energy and holes mobility. At lower temperatures the NWs exhibit variable range hopping conduction. Both Mott and Efros-Shklovskii variable range hopping mechanisms were clearly identified in the nanowires.
APA, Harvard, Vancouver, ISO, and other styles
8

Sierakowski, Kacper, Rafal Jakiela, Boleslaw Lucznik, Pawel Kwiatkowski, Malgorzata Iwinska, Marcin Turek, Hideki Sakurai, Tetsu Kachi, and Michal Bockowski. "High Pressure Processing of Ion Implanted GaN." Electronics 9, no. 9 (August 26, 2020): 1380. http://dx.doi.org/10.3390/electronics9091380.

Full text
Abstract:
It is well known that ion implantation is one of the basic tools for semiconductor device fabrication. The implantation process itself damages, however, the crystallographic lattice of the semiconductor. Such damage can be removed by proper post-implantation annealing of the implanted material. Annealing also allows electrical activation of the dopant and creates areas of different electrical types in a semiconductor. However, such thermal treatment is particularly challenging in the case of gallium nitride since it decomposes at relatively low temperature (~800 °C) at atmospheric pressure. In order to remove the implantation damage in a GaN crystal structure, as well as activate the implanted dopants at ultra-high pressure, annealing process is proposed. It will be described in detail in this paper. P-type GaN implanted with magnesium will be briefly discussed. A possibility to analyze diffusion of any dopant in GaN will be proposed and demonstrated on the example of beryllium.
APA, Harvard, Vancouver, ISO, and other styles
9

Song, Xi, Anne Elisabeth Bazin, Jean François Michaud, Frédéric Cayrel, Marcin Zielinski, Marc Portail, Thierry Chassagne, Emmanuel Collard, and Daniel Alquier. "Electrical Characterization of Nitrogen Implanted 3C-SiC by SSRM and C­TLM Measurements." Materials Science Forum 679-680 (March 2011): 193–96. http://dx.doi.org/10.4028/www.scientific.net/msf.679-680.193.

Full text
Abstract:
Two electrical characterization methods were used to study 3C-SiC epilayers doped by nitrogen implantation: circular Transfer Length Method (c­TLM) which allows extracting the specific contact resistance and Scanning Spreading Resistance Microscopy (SSRM) used to measure activated doping concentration. 3C-SiC samples were implanted at room temperature with different energies (ranging from 30 to 150keV) and doses (from 1 to 5.4x1015cm-2) in order to obtain a 300nm thick box-like profile at 5x1020cm-3. To activate the dopant, the samples were then annealed from 1150°C to 1350°C for 1h to 4h. Titanium-nickel c-TLM contacts annealed at 1000°C under argon showed the best results in terms of specific contact resistance (8x10-6.cm2) after a 1350°C–1h annealing. For this annealing condition, the activation rate was assessed by SSRM around 13%. This value confirms the difficulty to activate the dopants introduced into the 3C-SiC as the temperature is limited by the silicon substrate. However, this work demonstrates that low resistance values can be achieved on 3C-SiC, using nitrogen implantation at room temperature.
APA, Harvard, Vancouver, ISO, and other styles
10

Rahim, Madatov, Najafov Arzu, Alakbarov Aydin, Tagiev Teymur, and Khaliqzadeh Aydan. "Features of Electrical and Photoelectric Properties of GaS(Yb) Monocrystals." Zeitschrift für Naturforschung A 74, no. 9 (September 25, 2019): 821–25. http://dx.doi.org/10.1515/zna-2018-0475.

Full text
Abstract:
AbstractThe electrical and photoelectric properties of GaS(Yb) monocrystal have been studied in the range of 100–300 K. It has been established that as the partial compensation of structure defects (VGa) occurs due to the inclusion of ytterbium dopant, the electroconductivity of GaS monocrystal decreases. The simultaneously occurrence of substitution (YbGa) of cation vacancy – VYb and Yb-Ga due to the formation of acceptor and donor type two charged local centers during the doping, leads to a self-compensating process. As a result, the specific resistance of the crystal increases, and thermal activation and extinction processes are observed in the temperature dependence of photoconductivity.
APA, Harvard, Vancouver, ISO, and other styles
11

Ottaviani, Laurent, Stéphane Biondo, Stéphane Morata, Olivier Palais, Thierry Sauvage, and Frank Torregrosa. "Influence of Heating and Cooling Rates of Post-Implantation Annealing Process on Al-Implanted 4H-SiC Epitaxial Samples." Materials Science Forum 645-648 (April 2010): 717–20. http://dx.doi.org/10.4028/www.scientific.net/msf.645-648.717.

Full text
Abstract:
We report on topographical, structural and electrical measurements of aluminum-implanted and annealed 4H-SiC epitaxial samples. The influence of heating-up and cooling-down temperature rates on the SiC surface roughness, the crystal volume reordering and the dopant electrical activation was particularly studied. A higher heating-rate was found to preserve the rms roughness for annealing temperatures lower than 1700°C, and to improve the sheet resistance whatever the annealing temperature due to a better dopant activation (except for 1600°C process, which induced a dark zone in the sample volume). A complete activation was calculated for an annealing at 1700°C during 30 minutes, with a ramp-up at 20°C/s. Rising the cooling-down rate appeared to increase the sheet resistance, probably due to a higher concentration of point defects in the implanted layer.
APA, Harvard, Vancouver, ISO, and other styles
12

Jamal, Raied K. "Electrical properties of pure NiO and NiO:Au thin films prepared by using pulsed laser deposition." Iraqi Journal of Physics (IJP) 14, no. 29 (February 3, 2019): 37–43. http://dx.doi.org/10.30723/ijp.v14i29.218.

Full text
Abstract:
The electrical properties of pure NiO and NiO:Au Films which aredeposited on glass substrate with various dopant concentrations(1wt.%, 2wt%, 3wt.% and 4wt.%) at room temperature 450 Coannealing temperature will be presented. The results of the hall effectshowed that all the films were p-type. The Hall mobility decreaseswhile both carrier concentration and conductivity increases with theincreasing of annealing temperatures and doping percentage, Thus,indicating the behavior of semiconductor, and also the D.Cconductivity from which the activation energy decrease with thedoping concentration increase and transport mechanism of the chargecarriers can be estimated.
APA, Harvard, Vancouver, ISO, and other styles
13

Morata, Stéphane, Frank Torregrosa, and Thierry Bouchet. "Simulation of Ion Implantation in SiC: Dopant Profiling and Activation." Materials Science Forum 615-617 (March 2009): 449–52. http://dx.doi.org/10.4028/www.scientific.net/msf.615-617.449.

Full text
Abstract:
This paper presents a new simple hand using and fast simulator for ion implantation in 4H-SiC substrates developed by IBS for ESCAPEE European project. The modeling is divided in two parts: Empirical Depth Profile Simulator (EDPS) and Activation/Electrical Properties Simulator (AEPS). EDPS is calibrated for aluminium (Al) and nitrogen (N) implantations into 4H-SiC from SIMS measurements. Implanted dopant profile is constructed using the Pearson IV distribution. Moments of this distribution are extracted from experimental data (SIMS). This modeling takes multiple implantation and dopant diffusion into consideration. After annealing, activation properties related to the junction are predicted using AEPS. This allows prediction of sheet resistance of the implanted layer. Modeling accuracy is demonstrated by comparisons with experimental data.
APA, Harvard, Vancouver, ISO, and other styles
14

Weng, Ming Hung, Fabrizio Roccaforte, Filippo Giannazzo, Salvatore di Franco, Corrado Bongiorno, Mario Saggio, and Vito Raineri. "Effect of Dopant Concentrations and Annealing Conditions on the Electrically Active Profiles and Lattice Damage in Al Implanted 4H-SiC." Materials Science Forum 645-648 (April 2010): 713–16. http://dx.doi.org/10.4028/www.scientific.net/msf.645-648.713.

Full text
Abstract:
This paper reports on the electrical activation and structural analysis of Al implanted 4H-SiC. The evolution of the implant damage during high temperature (1650 – 1700 °C) annealing results in the presence of extended defects and precipitates, whose density and depth distribution in the implanted sheet was accurately studied for two different ion fluences (1.31014 and 1.31015 cm-2) by transmission electron microscopy. Furthermore, the profiles of electrically active Al were determined by scanning capacitance microscopy. Only a limited electrical activation (10%) was measured for both fluences in the samples annealed without a capping layer. The use of a graphite capping layer to protect the surface during annealing showed a beneficial effect, yielding both a reduced surface roughness and an increased electrical activation (20% for the highest fluence and 30% for the lowest one) with respect to samples annealed without the capping layer.
APA, Harvard, Vancouver, ISO, and other styles
15

Pelaz, L., M. Aboy, P. Lopez, and L. A. Marques. "Atomistic modeling of dopant implantation, diffusion, and activation." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 24, no. 5 (2006): 2432. http://dx.doi.org/10.1116/1.2348726.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Chen, Z. M., S. K. Wong, P. K. John, M. Prasad, and B. Y. Tong. "Crystallization and dopant activation of amorphous silicon films by light annealing." Canadian Journal of Physics 63, no. 6 (June 1, 1985): 719–22. http://dx.doi.org/10.1139/p85-113.

Full text
Abstract:
Amorphous-silicon (a-Si) thin films prepared by three different methods, namely, glow discharge, low-pressure chemical-vapour deposition (LPCVD), and electron-beam evaporation, were crystallized using an incoherent white-light source. The light source used was a plasma arc with a duration of ~10 μs with an incident light intensity of ~5 J/cm2. The crystal-growth mechanism in a thin film is briefly discussed. The films were characterized by measurements of electrical conductivity and its temperature dependence, optical microscopy, x-ray diffraction, and Raman spectroscopy. The dark conductivity of the a-Si films differed greatly depending on the method of preparation and ranged from 10−11 to 10−6 Ω−1∙cm−1. After crystallization by a single light flash, they all had approximately the same dark conductivity in the range 10−5–10−4 Ω−1∙cm−1. Crystallization of doped LPCVD films by the light source resulted in a six orders of magnitude increase in their electrical conductivity, clearly indicating dopant activation.
APA, Harvard, Vancouver, ISO, and other styles
17

Zhang, Xiaolong, Wipakorn Jevasuwan, and Naoki Fukata. "Interfacial intermixing of Ge/Si core–shell nanowires by thermal annealing." Nanoscale 12, no. 14 (2020): 7572–76. http://dx.doi.org/10.1039/c9nr09938g.

Full text
Abstract:
Ge/Si core–shell nanowires are suggested as potential building blocks of high performance devices. Thermal annealing is a crucial process for optimizing electrical properties because it affects the crystallinity, dopant activation and interface.
APA, Harvard, Vancouver, ISO, and other styles
18

Stepura, A. L., O. I. Aksimentyeva, and P. Yu Demchenko. "Features of the Structure and Physical-Chemical Properties of Poly-Ortho-Toluidine Doped with Toluenesulfonic Acid." Фізика і хімія твердого тіла 20, no. 1 (April 1, 2019): 77–82. http://dx.doi.org/10.15330/pcss.20.1.82.

Full text
Abstract:
Features of crystal structure, electrical conductivity and thermal stability of poly-ortho-toluidine (PoTi) samples, obtained with method of oxidative polymerization in the environment of sulfuric and toluenesulfonic acids (TSA), were investigated. It was discovered that PoTi-TSA samples are characterized withhigh crystallinity. According to the physico-chemical analysis, doping samples with TSA causes increase of PoTi thermal stability, electrical conductivity and sensor sensitivity on the contrary to samples doped with sulfuric acid. Based on temperature dependence of specific resistance, were calculated the values of the conductivity activation energy, which are within the limits of 0.2-0.4 eV depending on the type of dopant.
APA, Harvard, Vancouver, ISO, and other styles
19

Blanqué, Servane, R. Pérez, Narcis Mestres, Sylvie Contreras, Jean Camassel, and Phillippe Godignon. "Impact of Annealing Temperature Ramps on the Electrical Activation of N+ and P+ Co-Implanted SiC Layers." Materials Science Forum 527-529 (October 2006): 795–98. http://dx.doi.org/10.4028/www.scientific.net/msf.527-529.795.

Full text
Abstract:
We have performed nitrogen and phosphorus co-implants at room temperature to obtain high n-type carrier concentration layers in SiC. An inductive heating RTA furnace has been used for the activation annealing. The influence of the temperature ramp parameters such as rise/decrease temperature speed and intermediate annealing steps on the dopant activation rate and surface morphology have been investigated. A reduction of the temperature ramp slope reduces the surface roughness by 50%. Inclusion of a pre-activation annealing step at low temperatures (1300°C) further reduces the surface roughness. However, the use of slower ramps or an intermediate annealing step during ramp up reduces the free carrier concentration. The faster the ramp up, the higher the activation rate and the resulting doping. We also demonstrate that the inclusion of a postactivation annealing at intermediate temperatures (1150°C) reduces significantly the surface roughness. In addition, the use of this post-annealing treatment does not degrade the activation rate nor the carrier Hall mobility, and activation rates close to 100% have been obtained.
APA, Harvard, Vancouver, ISO, and other styles
20

Panknin, D., E. Wieser, R. Grötzschel, C. E. Richter, M. Gericke, Ya V. Fattachov, and I. B. Khaibullin. "Dopant distribution and electrical activation of Si implanted GaAs by short time annealing." Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 19-20 (January 1987): 492–95. http://dx.doi.org/10.1016/s0168-583x(87)80098-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Queirolo, G., C. Bresolin, D. Robba, M. Anderle, R. Canteri, A. Armigliato, G. Ottaviani, and S. Frabboni. "Low temperature dopant activation of BF2 implanted silicon." Journal of Electronic Materials 20, no. 5 (May 1991): 373–78. http://dx.doi.org/10.1007/bf02670886.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Al-Douri, Ala J., F. Y. Al-Shakily, Abdalla A. Alnajjar, and Maysoon F. A. Alias. "The Role of Dopant Concentration on Conductivity and Mobility of CdTe Thin Films." Advances in Condensed Matter Physics 2011 (2011): 1–6. http://dx.doi.org/10.1155/2011/910967.

Full text
Abstract:
Films of CdTe pure and doped with various atomic percentages of Al and Sb (0.5, 1.5 & 2.5) were prepared, and their electrical properties were investigated. The films were prepared by thermal evaporation on glass substrates at two substrate temperatures (Ts=RT& 423 K). The results showed that the conduction phenomena of all the investigated CdTe thin films on glass substrates are caused by two distinct mechanisms. Room temperature DC conductivity increases by a factor of four for undoped CdTe thin films asTsincreases and by 1-2 orders of magnitude with increasing dopant percentage of Al and Sb. In general, films doped with Sb are more efficient than Al-doped films. The activation energy (Ea2) decreases with increasingTsand dopant percentage for both Al and Sb. Undoped CdTe films deposited at RT are p-type convert to n-type with increasingTsand upon doping with Al at more than 0.5%. The carrier concentration decreases asTsincreases while it increases with increasing dopant percentage. Hall mobility decreases more than three times as Al increases whereas it increases about one order of magnitude with increasing Sb percentage in CdTe thin films deposited at 423 K and RT, respectively.
APA, Harvard, Vancouver, ISO, and other styles
23

Abdul Karim, Hussein Jamal, and Ghuson H. Mohammed. "Effect of Transition Metal Dopant on the Electrical Properties of ZnO-TiO2 Films Prepared by PLD Technique." Iraqi Journal of Physics (IJP) 19, no. 49 (May 18, 2021): 75–81. http://dx.doi.org/10.30723/ijp.v19i49.608.

Full text
Abstract:
In this article, the influence of group nano transition metal oxides such as {(MnO2), (Fe2O3) and (CuO)} thin films on the (ZnO-TiO2) electric characteristics have been analyzed. The prepared films deposited on glass substrate laser Nd-YAG with wavelength (ℷ =1064 nm) ,energy of (800mJ) and number of shots (400). The density of the film was found to be (200 nm) at room temperature (RT) and annealing temperature (573K).Using DC Conductivity and Hall Effect, we obtained the electrical properties of the films. The DC Conductivity shows that that the activation energies decrease while the σRT at annealing temperature with different elements increases the formation of mixed oxides. The Hall effect, the electrical properties of the films were described. It was observed through Hall Effect measurements that the films loaded vectors of the shape N and the type P.
APA, Harvard, Vancouver, ISO, and other styles
24

Bhabad, V. D. "Electrical, Thermoelectrical and PEC Studies of Copper Doped CdSe Thin Films." International Journal for Modern Trends in Science and Technology 6, no. 5 (May 26, 2020): 60–66. http://dx.doi.org/10.46501/ijmtst060510.

Full text
Abstract:
Copper doped CdSe thin films of various composition (0.1-1.0 mol %) deposited by dip coating technique on clean glass substrate at room temperature. Sample prepared on stainless steel plates have been applied for PEC characterization. The electrical conductance at 300K enhances as the amount of copper raises up to 0.1 mol % and later magnitude shrinks for greater amount.Copperr doped samples demonstrates n-kind conductance. As temperature rise conductivity also rises confirming semiconducting nature of sample. Activation energy reduces up to 0.1 mol % and enhances at higher copper amount. Thermoelectric power increases up to 0.1 mol % copper dopant sample and later decreases. The rise in thermoelectric power with rise in temperature confirming the uniform characterof the sample. The various performance parameter of PEC were examined with respect to varing dopant amount. Fill factor, ideality factor, short circuit current, open circuit voltage, and solar energy conversion enhances up to 0.1 mol% copper amount then reduces. The utility of this work is in improving the efficiency of PEC cell. The efficiency of doped sample is greater than undoped cadmium selenide.
APA, Harvard, Vancouver, ISO, and other styles
25

Ahmad, Afaq. "Composition-induced phase transition in a [Ag2HgI4:0.2AgI] mixed composite system doped with CuI." Open Chemistry 8, no. 6 (December 1, 2010): 1227–35. http://dx.doi.org/10.2478/s11532-010-0098-8.

Full text
Abstract:
AbstractA novel composite superionic system, [Ag2HgI4:0.2AgI]:xCuI, (x = 0.2, 0.4, 0.6 mol. wt.%), was prepared and [Ag2HgI4:0.2AgI] mixed system was used as the host. Electrical conductivity was measured to study the transition behavior at frequencies of 100 Hz, 120 Hz, 1 kHz, and 10 kHz in the temperature range 90°–170°C by a Gen Rad 1659 RLC Digibridge. Sharp increase in conductivity was observed for β-α phase transitions. As a result of increase in the dopant-to-host ratio, the conductivity of the system exhibited Arrhenius (thermally activated)-type behavior. X-ray powder diffraction, differential scanning calorimetry (DSC), differential thermal analysis (DTA) and thermo-gravimetric analysis (TGA) studies confirmed the doping effect on the transition in the host, the phase transition temperature increased with an increase in the dopant concentration. Activation energies for the system in eV both for the pretransition and post-transition phase transformations are reported. The addition of CuI to [Ag2HgI4:0.2AgI] shifted the phase transition of the host [Ag2HgI4:0.2AgI], due to an interaction between [Ag2HgI4:0.2AgI] and CuI.
APA, Harvard, Vancouver, ISO, and other styles
26

Kögler, Reinhard, Xin Ou, Nadine Geyer, Pratyush Das Kanungo, Daniel Schwen, Peter Werner, and Wolfgang Skorupa. "Acceptor Deactivation in Silicon Nanowires Analyzed by Scanning Spreading Resistance Microscopy." Solid State Phenomena 178-179 (August 2011): 50–55. http://dx.doi.org/10.4028/www.scientific.net/ssp.178-179.50.

Full text
Abstract:
Vertical p-type Si nanowires (NWs) "in-situ" doped during growth or "ex-situ" by B ion implantation are investigated regarding their acceptor activation. Due to the much higher surface to volume ratio of the NW in comparison to bulk material the surface effect plays an important role in determining the doping behaviour. Dopant segregation and fixed positive charges at the Si/SiO2 interface result in an acceptor deactivation. The B concentration introduced into the NW has to balance the deactivation effects in order to reach the intended electrical parameters. Scanning spreading resistance microscopy is used for the electrical characterization of the NWs. This analysis method provides images of the local resistivity of NW cross sections. Resistivity data are converted into acceptor concentration values by calibration. The study demonstrates that scanning spreading resistance microscopy is a suitable analysis method capable to spatially and electrically resolve Si NWs in the nanometer-scale. The NW resistivity is found to be size dependent and shows a significant increase as the NW is below 25 nm in diameter. The obtained data can be explained by a core-shell model with a highly conductive NW core and low conductive shell.
APA, Harvard, Vancouver, ISO, and other styles
27

SOBHY, MAGED S. "EFFECT OF Ni ON THE ELECTRICAL AND MICROSTRUCTURAL PROPERTIES OF NANOCRYSTALLITES Fe2O3/TiO2 SYSTEM." Surface Review and Letters 13, no. 04 (August 2006): 479–84. http://dx.doi.org/10.1142/s0218625x06008712.

Full text
Abstract:
Nominal compositions of Ni x Ti 1-x Fe 2 O 5-δ (x = 0, 0.2, 0.4, 0.6, 0.8 and 1) were prepared by a solid state reaction using stoichiometric amounts of Fe 2 O 3/ TiO 2 system and NiO as a dopant. The effects of small substitution of Ni ions on the electrical and structural properties were studied for the above system. The X-ray diffraction patterns revealed that the ferroelectric phase of iron titanate and the spinel ferrite phase of Ni -ferrite having a single phase at x = 0 and 1, respectively. The substitution of Ni ions increases the average value of lattice constant aav. Solid–solid interaction took place between the ternary oxides at 1200°C for 4 h yielding a new phase of NiTiO 3. The presence of the three phases was confirmed by X-ray diffraction technique. The resultant compositions have nanocrystallites with average crystalline size "D av " in the range 100–300 nm. The DC electrical resistivity ρ, Curie temperature TC and activation energies for electric conduction around TC region increase as Ni ion substitution increases. The ferrite samples have a semiconductor behavior where electrical resistivity ρ decreases on increasing temperature. The activation energy for electrical conduction was affected by both the ratio "ferroelectric/ferrite" and the position of the Curie temperatures in the compositions depending on the ( Ni , Ti ) to Fe ratio.
APA, Harvard, Vancouver, ISO, and other styles
28

Zographos, Nikolas, and Axel Erlebach. "Process simulation of dopant diffusion and activation in germanium." physica status solidi (a) 211, no. 1 (December 5, 2013): 143–46. http://dx.doi.org/10.1002/pssa.201300123.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Frazzetto, Alessia, Fabrizio Roccaforte, Filippo Giannazzo, R. Lo Nigro, M. Saggio, Edoardo Zanetti, and Vito Raineri. "Effects of Different Post-Implantation Annealing Conditions on the Electrical Properties of Interfaces to p-Type Implanted 4H-SiC." Materials Science Forum 717-720 (May 2012): 825–28. http://dx.doi.org/10.4028/www.scientific.net/msf.717-720.825.

Full text
Abstract:
This paper reports on the effects of different post-implantation annealings on the electrical properties of interfaces to p-type implanted 4H-SiC. The morphology of p-type implanted 4H-SiC was controlled using a capping layer during post-implantation activation annealing of the dopant. Indeed, the surface roughness of Al-implanted regions strongly depends on the use of the protective capping layer during the annealing. However, while the different morphological conditions do not affect the macroscopical electrical properties of the implanted SiC (such as the sheet resistance), they led to an improvement of the morphology and of the specific contact resistance of Ti/Al Ohmic contacts formed on the implanted regions. These electrical and morphologic improvements were associated with a lowering of Schottky barrier height. Preliminary results showed that the different activation annealing conditions of p-type implanted SiC can affect also the electrical parameters (like threshold voltage and mobility) of lateral MOSFETs.
APA, Harvard, Vancouver, ISO, and other styles
30

Lee, Yao-Jen, Shang-Shiun Chuang, Fu-Kuo Hsueh, Ho-Ming Lin, Shich-Chuang Wu, Ching-Yi Wu, and Tseung-Yuen Tseng. "Dopant Activation in Single-Crystalline Germanium by Low-Temperature Microwave Annealing." IEEE Electron Device Letters 32, no. 2 (February 2011): 194–96. http://dx.doi.org/10.1109/led.2010.2090937.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Irfan, M., and A. Shakoor. "Structural and electrical properties of dodecylbenzene sulphonicacid doped polypyrrole/zirconium oxide composites." Revista Mexicana de Física 65, no. 6 Nov-Dec (October 31, 2019): 607. http://dx.doi.org/10.31349/revmexfis.65.607.

Full text
Abstract:
Polypyrrole (PPy) dispersed in an organic solvents were synthesized by means of dodecylbenzenesulphonic acid (DBSA) as useful dopant. Composites of doped PPy with DBSA and also mixed with zirconium oxide (ZrO2) nanoparticles were achieved by chemical polymerization route. Raman spectroscopy has been adopted to confirm the interaction between PPy-DBSA and ZrO2. The SEM also confirms the dual phase structure of platelet and egg shell in PPy-DBSA-ZrO2. Temperature dependant DC conductivity exhibited three dimensional variable ranges hopping (3D-VRH) model. Density of states, hopping length in addition to activation energy were calculated and was observe to be effected by increasing the weight ratio of ZrO2 into PPy-DBSA.
APA, Harvard, Vancouver, ISO, and other styles
32

Spera, Monia, Giuseppe Greco, Domenico Corso, Salvatore Di Franco, Andrea Severino, Angelo Alberto Messina, Filippo Giannazzo, and Fabrizio Roccaforte. "Ohmic Contacts on p-Type Al-Implanted 4H-SiC Layers after Different Post-Implantation Annealings." Materials 12, no. 21 (October 23, 2019): 3468. http://dx.doi.org/10.3390/ma12213468.

Full text
Abstract:
This paper reports on the electrical activation and Ohmic contact properties on p-type Al-implanted silicon carbide (4H-SiC). In particular, the contacts were formed on 4H-SiC-implanted layers, subjected to three different post-implantation annealing processes, at 1675 °C, 1175 °C, and 1825 °C. Under these post-implantation annealing conditions, the electrical activation of the Al dopant species increased from 39% to 56%. The Ti/Al/Ni contacts showed an Ohmic behavior after annealing at 950 °C. The specific contact resistance ρc could be lowered by a factor of 2.6 with the increase of the post-implantation annealing temperature. The result can be useful for application in device fabrication. Moreover, the dependence of ρc on the active acceptor concentration followed the thermionic field emission model, with a barrier height of 0.63 eV.
APA, Harvard, Vancouver, ISO, and other styles
33

Chen, Li An, En Hai Jiang, Xing Feng Zhu, and Ling Fu Chen. "Novel diffusions of interstitial atoms in II–VI compounds zinc selenide." Modern Physics Letters B 29, no. 11 (April 30, 2015): 1550044. http://dx.doi.org/10.1142/s021798491550044x.

Full text
Abstract:
The diffusion plays an important role in many applications when the impurities are employed to tune the semiconductor's electrical or optical properties, which make it essential to understand theoretically the microscopic mechanisms governing how dopant defects diffuse. Using first-principles calculations, we compare the diffusion behaviors and migration barriers of interstitial Cu , Ag , and Au atoms in II–VI compounds ZnSe . We consider interstitial diffusion mechanisms and calculate the corresponding activation energies. For noble atoms, we find that the interstitial mediated mechanism is the dominant one. We also find that the relative size of dopant atoms and constituent atoms of II–VI compounds is an important factor affecting the diffusion behaviors. The coupling in ZnSe between Cu d levels and unoccupied host s levels is not as strong as that in CdTe .
APA, Harvard, Vancouver, ISO, and other styles
34

Said, Muzalifah Mohd, Zul Atfyi Fauzan Mohammed Napiah, Faiz Arith, and Zarina Mohd Noh. "NMOS Performance of Low Boron Activation on Group V for Ultra-Shallow Junction Formation." Advanced Materials Research 716 (July 2013): 248–53. http://dx.doi.org/10.4028/www.scientific.net/amr.716.248.

Full text
Abstract:
Fabrication of ultra shallow junctions with low contact resistances is desired to advance current CMOS technology. The low Boron activation on Group V for ultra shallow junction formation will makes the chip fabrication works effectively. SilvacoTCAD (Technology Computer Aided Design) manages simulation tasks and analyzing simulation results when ultra-shallow junction formation is using low-boron activation on Phosphorus, Antimony and Arsenic.A stimulate process like implantation, diffusion and dopant activation and epitaxial growth in different semiconductor materials has been analyzed as well as investigate the effects of energy of boron ion beams on ultra shallow junction formation.As a result, the electrical characteristics of NMOS structure by obtaining graph of IDVGSand IDVDShas been studied when there are variations in junction length (Xj), and gatelength (Lg).
APA, Harvard, Vancouver, ISO, and other styles
35

Khramtsov, Igor A., and Dmitry Yu Fedyanin. "Superinjection of Holes in Homojunction Diodes Based on Wide-Bandgap Semiconductors." Materials 12, no. 12 (June 19, 2019): 1972. http://dx.doi.org/10.3390/ma12121972.

Full text
Abstract:
Electrically driven light sources are essential in a wide range of applications, from indication and display technologies to high-speed data communication and quantum information processing. Wide-bandgap semiconductors promise to advance solid-state lighting by delivering novel light sources. However, electrical pumping of these devices is still a challenging problem. Many wide-bandgap semiconductor materials, such as SiC, GaN, AlN, ZnS, and Ga2O3, can be easily n-type doped, but their efficient p-type doping is extremely difficult. The lack of holes due to the high activation energy of acceptors greatly limits the performance and practical applicability of wide-bandgap semiconductor devices. Here, we study a novel effect which allows homojunction semiconductor devices, such as p-i-n diodes, to operate well above the limit imposed by doping of the p-type material. Using a rigorous numerical approach, we show that the density of injected holes can exceed the density of holes in the p-type injection layer by up to four orders of magnitude depending on the semiconductor material, dopant, and temperature, which gives the possibility to significantly overcome the doping problem. We present a clear physical explanation of this unexpected feature of wide-bandgap semiconductor p-i-n diodes and closely examine it in 4H-SiC, 3C-SiC, AlN, and ZnS structures. The predicted effect can be exploited to develop bright-light-emitting devices, especially electrically driven nonclassical light sources based on color centers in SiC, AlN, ZnO, and other wide-bandgap semiconductors.
APA, Harvard, Vancouver, ISO, and other styles
36

Chandra, Aditi, Mao Takashima, and Arvind Kamath. "Silicon and Dopant Ink-Based CMOS TFTs on Flexible Steel Foils." MRS Advances 2, no. 23 (2017): 1259–65. http://dx.doi.org/10.1557/adv.2017.227.

Full text
Abstract:
ABSTRACTPolysilicon complementary metal oxide semiconductor (CMOS) thin film transistors (TFTs) are fabricated on large area, flexible stainless steel foils using novel ink depositions within a hybrid printed/conventional process flow. A self-aligned top gate TFT structure is realized with an additive materials approach to substitute the use of high capital cost ion implantation and lithography processes. Polyhydrosilane-based silicon ink is coated and laser crystallized to form the polysilicon channel. Semiconductor grade P-type and N-type unique dopant ink formulations are screen printed and combined with thermal drive in and activation to form self-aligned doped source and drain regions. A high refractory top gate material is chosen for its process compatibility with printed dopants, chemical resistance, and work function. Steel foil substrates are fully encapsulated to allow for high temperature processing. The resultant materials set and process flow enables TFT electrical characteristics with NMOS and PMOS mobilities exceeding 120 cm2/Vs and 60 cm2/Vs, respectively. On/Off ratios are >107. Reproducibility, uniformity, and reliability data in a production environmental is shown to demonstrate high volume, high throughput manufacturability. The device characteristics and scheme enable NFC (13.56MHz) capable circuits for use in flexible NFC and display-based smart labels and packaging.
APA, Harvard, Vancouver, ISO, and other styles
37

Song, Xi, Jérôme Biscarrat, Anne Elisabeth Bazin, Jean François Michaud, Frédéric Cayrel, Marcin Zielinski, Thierry Chassagne, Marc Portail, Emmanuel Collard, and Daniel Alquier. "Dose Influence on Physical and Electrical Properties of Nitrogen Implantation in 3C-SiC on Si." Materials Science Forum 711 (January 2012): 154–58. http://dx.doi.org/10.4028/www.scientific.net/msf.711.154.

Full text
Abstract:
In this paper, we studied the influence of nitrogen implantation dose on both physical and electrical properties in 3C-SiC grown on Si (100) substrate. Scanning Transmission Electron Microscopy characterizations prove that high dose is responsible for amorphization of the implanted layer and the high defect density after annealing. A high V-shape defect density is still found in the implanted layer after an annealing at 1350°C. By lowering the dose, the layer is less damaged and no amorphization is observed. For the different doses, low Specific Contact Resistances are measured using Ti/Ni contacts. The Specific Contact Resistance value decreases from 8x10-6Ω.cm2for the high dose to 3.2x10­6Ω.cm2with decreasing the dose. Furthermore, the dopant activation ratio, evaluated by quantitative SSRM measurements, is improved at the same time from 17% (for the high dose) to 60% (for the low dose). This work demonstrates that high activation ratio can be achieved consecutively to a nitrogen implantation at reasonable implantation fluence.
APA, Harvard, Vancouver, ISO, and other styles
38

Ramelow, Ulku S., Samantha N. Braganza, and Gerald J. Ramelow. "Electrical conductivities of photochemically prepared polyethylene glycol dimethacrylate, reacted with iodine and lithium perchlorate dopants and activation energy determination for polymer-dopant interaction." Journal of Applied Polymer Science 112, no. 4 (May 15, 2009): 1916–26. http://dx.doi.org/10.1002/app.29759.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Lanza, F., R. Feduzi, and J. Fuger. "Effects of lithium oxide on the electrical properties of CuO at low temperatures." Journal of Materials Research 5, no. 8 (August 1990): 1739–44. http://dx.doi.org/10.1557/jmr.1990.1739.

Full text
Abstract:
We present an investigation of the influence of four dopant lithium concentrations on the electrical properties of CuO. X-ray measurements have revealed a single phase formed up to 4.2 at. % of Li, and a second phase formed, Li2CuO2, in the case of 10.5 at. % of Li concentration. The log(ρ/T) vs 1/T data are better represented by two straight lines than by one and show an initial strong decrease of the conduction activation energies for small contents of Li (<1.7 at. %), which becomes weak for larger contents. The change in slope observed in the resistivity measurements as a function of temperature has also been investigated by calorimetric measurements, revealing a specific heat anomaly which can be associated with the antiferromagnetic order transition.
APA, Harvard, Vancouver, ISO, and other styles
40

Folkersma, Steven, Janusz Bogdanowicz, Paola Favia, Lennaert Wouters, Dirch Hjorth Petersen, Ole Hansen, Henrik Hartmann Henrichsen, Peter Former Nielsen, Lior Shiv, and Wilfried Vandervorst. "Apparent size effects on dopant activation in nanometer-wide Si fins." Journal of Vacuum Science & Technology B 39, no. 2 (March 2021): 023202. http://dx.doi.org/10.1116/6.0000921.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Bazin, Anne Elisabeth, Frédéric Cayrel, Mohamed Lamhamdi, Arnaud Yvon, Jean Christophe Houdbert, Emmanuel Collard, and Daniel Alquier. "Si+ Implantation and Activation in GaN Comparison of GaN on Sapphire and GaN on Silicon." Materials Science Forum 711 (January 2012): 213–17. http://dx.doi.org/10.4028/www.scientific.net/msf.711.213.

Full text
Abstract:
In this paper, we evaluated gallium nitride heteroepitaxially grown on sapphire (GaN/Sa) and grown on silicon (GaN/Si) faced to implantation doping. Si+ was implanted on low doped n-type epilayers in order to create a plateau around 1020at.cm-3. All the samples were capped with a silicon oxide and annealed between 1000°C and 1150°C. The surface quality was evaluated in terms of roughness, pit density and maximum pit diameter using Atomic Force Microscopy (AFM) and Scanning Electron Microscopy (SEM). Finally, the dopant electrical activation was studied with Ti-Al contacts using the circular Transfert Length Method (c-TLM). This study shows that low Specific Contact Resistance (SCR) values of 8x10-5Ω.cm2 and 6x10-6Ω.cm2 are respectively obtained on GaN/Sa sample annealed at 1150°C-2min and on GaN/Si sample annealed at 1150°C-30s, proving that good ohmic contacts are obtained on both materials. Nevertheless, a compromise has to be done between the low SCR values obtained and the GaN surface degradation, observed by AFM and SEM after the different annealing treatments and which could affect the good behaviour of the GaN devices.
APA, Harvard, Vancouver, ISO, and other styles
42

Molnar, Wolfgang, Alois Lugstein, Tomasz Wojcik, Peter Pongratz, Norbert Auner, Christian Bauch, and Emmerich Bertagnolli. "Synthesis and electrical characterization of intrinsic and in situ doped Si nanowires using a novel precursor." Beilstein Journal of Nanotechnology 3 (July 31, 2012): 564–69. http://dx.doi.org/10.3762/bjnano.3.65.

Full text
Abstract:
Perchlorinated polysilanes were synthesized by polymerization of tetrachlorosilane under cold plasma conditions with hydrogen as a reducing agent. Subsequent selective cleavage of the resulting polymer yielded oligochlorosilanes Si n Cl2 n +2 (n = 2, 3) from which the octachlorotrisilane (n = 3, Cl8Si3, OCTS) was used as a novel precursor for the synthesis of single-crystalline Si nanowires (NW) by the well-established vapor–liquid–solid (VLS) mechanism. By adding doping agents, specifically BBr3 and PCl3, we achieved highly p- and n-type doped Si-NWs by means of atmospheric-pressure chemical vapor deposition (APCVD). These as grown NWs were investigated by means of scanning electron microscopy (SEM) and transmission electron microscopy (TEM), as well as electrical measurements of the NWs integrated in four-terminal and back-gated MOSFET modules. The intrinsic NWs appeared to be highly crystalline, with a preferred growth direction of [111] and a specific resistivity of ρ = 6 kΩ·cm. The doped NWs appeared to be [112] oriented with a specific resistivity of ρ = 198 mΩ·cm for p-type Si-NWs and ρ = 2.7 mΩ·cm for n-doped Si-NWs, revealing excellent dopant activation.
APA, Harvard, Vancouver, ISO, and other styles
43

Takeuchi, H., P. Ranade, and Tsu-Jae King. "Suppression of boron TED by low temperature SPC anneal prior to dopant activation." IEEE Transactions on Electron Devices 49, no. 12 (December 2002): 2343–44. http://dx.doi.org/10.1109/ted.2002.804694.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Vemuri, Rajitha N. P., Mandar J. Gadre, N. D. Theodore, and T. L. Alford. "Dopant Activation in Arsenic-Implanted Si by Susceptor-Assisted Low-Temperature Microwave Anneal." IEEE Electron Device Letters 32, no. 8 (August 2011): 1122–24. http://dx.doi.org/10.1109/led.2011.2157453.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Hansen, K., E. Peiner, A. Schlachetzki, and M. Von Ortenberg. "Dopant activation energy and hole effective mass in heavily Zn-Doped InP." Journal of Electronic Materials 23, no. 9 (September 1994): 935–41. http://dx.doi.org/10.1007/bf02655368.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Priolo, Francesco, Giovanni Mannino, Monica Miccichè, Vittorio Privitera, Enrico Napolitani, and Alberto Carnera. "Role of surface and of dopant-impurity interactions on the electrical activation of B implants in crystalline Si." Applied Physics Letters 72, no. 23 (June 8, 1998): 3011–13. http://dx.doi.org/10.1063/1.121524.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Kato, Juri. "The Annealing Time and Temperature Dependence of Electrical Dopant Activation in High‐Dose BF 2 Ion Implanted Silicon." Journal of The Electrochemical Society 141, no. 11 (November 1, 1994): 3158–61. http://dx.doi.org/10.1149/1.2059294.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Branz, Howard M., and Brian A. Gregg. "Dopant Pairing in a Molecular Semiconductor." MRS Proceedings 725 (2002). http://dx.doi.org/10.1557/proc-725-p4.2.

Full text
Abstract:
AbstractRecent doping experiments in n-type perylene diimide (PPEEB) semiconducting thin films showed an unexpected quadratic dependence of electrical conductivity upon dopant molecule concentration. We propose that singly-ionized dopant pairs outnumber ionized unpaired dopants and dominate conductivity. Random association into dopant pairs during spin coating then explains the quadratic dependence. Classical calculations confirm that dopant pairing reduces the binding energy of the easiest-to-ionize electron. Our model agrees with the measured conductivity activation energy and magnitude, assuming typical electron mobility in the crystal. The random distribution of dopants implies their distribution cannot equilibrate during the spincoating process.
APA, Harvard, Vancouver, ISO, and other styles
49

Klappe, Jos G. E., István Bársony, Pierre H. Woerlee, Tom W. Ryan, and P. Alkemade. "Rapid Thermal Annealing of Low-Energy P and B Implants in Silicon, Optimized by High Resolution X-Ray Diffraction." MRS Proceedings 342 (1994). http://dx.doi.org/10.1557/proc-342-363.

Full text
Abstract:
ABSTRACTIn this paper, low-energy (45 keV) implantations of phosphorous and boron into silicon were studied. A comparison of doping profiles, secondary defect formation, electrical activation and diode leakage was made between Rapid Thermal Annealing (RTA) and conventional furnace annealing. The samples were analysed by High-Resolution X-Ray Diffraction (HR-XRD), X-TEM, SIMS, spreading resistance (SRP) and sheet resistance measurements.The non-destructive HR-XRD technique combined with the novel simulation software was a very useful tool for the defect characterisation and for the choice of the optimum annealing temperature. Furthermore estimations of electrically active dopant atoms were made with HR-XRD by measurement of the strain. With RTA a substitutional dopant concentration of a factor 2 to 4 higher than with furnace annealing can be obtained, for P and B respectively. Electrical measurements show that not all of the substitutional dopants are electrically active, however. Thus estimates of the electrically active dopant atoms with HR-XRD require further study. Furthermore it appeared that RTA was superior to furnace anneal for lowering sheet resistances, defect removal and dopant profile broadening. However, furnace anneal gave the best results for diode leakage currents. This indicates that RTA processing needs to be further refined or that combined RTA/furnace processes need to be developed.
APA, Harvard, Vancouver, ISO, and other styles
50

Chao, Y. L., S. Prussin, J. C. S. Woo, and R. Scholz. "Dopant Activation in bulk germanium and Germanium-on-Insulator." MRS Proceedings 829 (2004). http://dx.doi.org/10.1557/proc-829-b9.18.

Full text
Abstract:
ABSTRACTHigh levels of electrical activation of both p- and n-type dopants are realized by pre-amorphization implantation (PAI) in bulk germanium wafers and germanium-on-insulator (GOI) substrates. In bulk germanium, p-type dopant yields an electrical activated concentration of 1.5×1020 /cm3 after a 400°C rapid thermal annealing (RTA), which is one order higher than obtained for samples without PAI. N-type dopants also show comparable improvement as 1×1020 /cm3 after 600°C RTA. Both results are the highest ever being reported and are sufficient for advanced CMOS applications. PAI was also employed in dopant activation for GOI substrates. Carrier concentrations of 6×1020 /cm3 and 5×1019 /cm3 were observed for p- and n-type dopants respectively after identical RTA conditions as for bulk germanium counterparts. Hydrogen incorporated in GOI wafers which were prepared by Smart-Cut™ approach may be responsible for the discrepancy of activated concentrations between bulk germanium and GOI. Nevertheless, PAI shows the promise of dopant activation in germanium and can be readily adopted in current CMOS processes.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography