Dissertations / Theses on the topic 'DPT test'

To see the other types of publications on this topic, follow the link: DPT test.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'DPT test.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Žaržojus, Gintaras. "Analysis of the results and it influence factors of dynamic probing test and interrelation with cone penetration test data in Lithuanian soils." Doctoral thesis, Lithuanian Academic Libraries Network (LABT), 2010. http://vddb.laba.lt/obj/LT-eLABa-0001:E.02~2010~D_20101230_093807-41798.

Full text
Abstract:
The object of the thesis is soils that occur within the territory of Lithuania and may be used as basis for building foundations. The thesis studies the interpretation of the results of Dynamic Penetration Test (DPT) and Cone Penetration Test (CPT) of soils, reliability of direct (number of blows (Nx)) and de-rivative (dynamic point resistance (qd)) DPT parameters, analyses the result influencing factors and interrelation between DPT and CPT parameters. The data analysis has been performed by means of mathematical methods of statistics, also using analytical and empirical solutions. Having examined calculation data it was determined that the indirect parameter of Dy-namic Penetration Test – dynamic point resistance (qd) should not be used due to calculation de-faults and replaced with the direct parameter – number of blows (Nx). The analysis of DPT re-sults influencing factors shows that the lateral overburden pressure together with friction of rods are those with the greatest impact on penetration data. Within the scope of the work, it has re-vealed that the number of blows (Nx) and static cone resistance (qc) are closely correlated and it depends on the grain size distribution of soil, geotechnical properties and depth of occurrence.
Disertacijos objektas yra Lietuvos teritorijoje slūgsantys gruntai, kurie gali būti statinių pamatų pagrindu. Disertacijoje nagrinėjama grunto tyrimo dinaminiu (DPT) ir statiniu (CPT) zondavimu rezultatų interpretacija, tiesioginio (smūgių skaičiaus (Nx)) ir išvestinio (dinaminės kūgio smigos (qd)) DPT rodiklių patikimumas, analizuojami rezultatus įtakojantys veiksniai ir sąsajos tarp DPT bei CPT zondavimo rodiklių. Duomenų analizė atlikta matematiniais statistiniais metodais, taip pat panaudojant analitinius ir empirinius sprendinius. Išnagrinėjus skaičiavimo duomenis buvo nustatyta, kad netiesioginis dinaminio zonda-vimo rodiklis – dinaminė kūgio smiga (qd) dėl skaičiavimo trukumų yra nenaudotinas ir keisti-nas į tiesioginį rodiklį – smūgių skaičių (Nx). DPT rezultatus įtakojančių veiksnių analizė paro-dė, kad zondavimo duomenims didžiausią įtaką turi gruntų šoninis geostatinis slėgis ir kartu zondavimo štangų trintis į gruntą. Darbo metu buvo gauta, kad egzistuoja tamprus koreliacinis ryšys tarp smūgių skaičiaus (Nx) ir statinės kūgio spraudos (qc), kuris priklauso nuo grunto gra-nuliometrinės sudėties, mechaninių savybių ir slūgsojimo gylio.
APA, Harvard, Vancouver, ISO, and other styles
2

Linton, Nicholas James. "Gravel Liquefaction Assessment with the Dynamic Penetration Test at Non-Liquefaction Sites in Valdez, Alaska and L'Aquila, Italy." BYU ScholarsArchive, 2021. https://scholarsarchive.byu.edu/etd/8963.

Full text
Abstract:
The development of a reliable, and cost-effective in-situ method for characterizing the liquefaction potential of gravelly soils is a considerable challenge for engineers and researchers. The ability to accurately characterize the liquefaction potential of gravelly soils is an important consideration at port facilities and dams for example. The Dynamic Penetration Test (DPT) provides a reliable and cost-effective method for evaluating the liquefaction resistance of gravelly soils. Probabilistic liquefaction triggering curves based on DPT field data have been developed from data collected at 47 sites in China. However, using the DPT-based liquefaction curves for locations outside of the Chengdu plain in China where the data for the triggering curves were gathered may yield unreliable results. To improve the reliability of the DPT-based liquefaction triggering curves additional DPT field data form outside of the Chengdu plain is required. In total seven new non-liquefaction DPT case histories are presented in this report. Two of the case histories are based on DPT field data from Valdez, Alaska. The remaining five case histories were developed from DPT field data from L'Aquila, Italy. When plotted on the liquefaction triggering curves based only on the DPT data obtained in the Chengdu plain three of the seven data points plot in a position that indicates a considerable possibility of liquefaction despite these case histories being from locations where liquefaction did not occur. Roy (2021) developed new DPT-based liquefaction triggering curves with these seven new non-liquefaction case histories, DPT filed data from other sites around the world, and the DPT field data from the Chengdu plain. The three data points from the new case histories presented in this report that had a considerable probability of liquefaction when plotted on the curve developed only with the data from the Chengdu plain had a significantly lower probability of liquefaction when plotted on the new DPT-based liquefaction triggering curves. One of the data points from Valdez, Alaska decreased from a probability of liquefaction of around 50% to a probability of liquefaction of less than 30% when plotted on the new DPT-based liquefaction triggering curves. The reliability of DPT-based liquefaction triggering curves will continue to increase as the amount of available DPT data increases.
APA, Harvard, Vancouver, ISO, and other styles
3

Žaržojus, Gintaras. "Dinaminio zondavimo rezultatų ir juos įtakojančių veiksnių analizė bei sąsajos su statinio zondavimo duomenimis Lietuvos gruntuose." Doctoral thesis, Lithuanian Academic Libraries Network (LABT), 2010. http://vddb.laba.lt/obj/LT-eLABa-0001:E.02~2010~D_20101230_094020-91165.

Full text
Abstract:
Disertacijos objektas yra Lietuvos teritorijoje slūgsantys gruntai, kurie gali būti statinių pamatų pagrindu. Disertacijoje nagrinėjama grunto tyrimo dinaminiu (DPT) ir statiniu (CPT) zondavimu rezultatų interpretacija, tiesioginio (smūgių skaičiaus (Nx)) ir išvestinio (dinaminės kūgio smigos (qd)) DPT rodiklių patikimumas, analizuojami rezultatus įtakojantys veiksniai ir sąsajos tarp DPT bei CPT zondavimo rodiklių. Duomenų analizė atlikta matematiniais statistiniais metodais, taip pat panaudojant analitinius ir empirinius sprendinius. Išnagrinėjus skaičiavimo duomenis buvo nustatyta, kad netiesioginis dinaminio zonda-vimo rodiklis – dinaminė kūgio smiga (qd) dėl skaičiavimo trukumų yra nenaudotinas ir keisti-nas į tiesioginį rodiklį – smūgių skaičių (Nx). DPT rezultatus įtakojančių veiksnių analizė paro-dė, kad zondavimo duomenims didžiausią įtaką turi gruntų šoninis geostatinis slėgis ir kartu zondavimo štangų trintis į gruntą. Darbo metu buvo gauta, kad egzistuoja tamprus koreliacinis ryšys tarp smūgių skaičiaus (Nx) ir statinės kūgio spraudos (qc), kuris priklauso nuo grunto gra-nuliometrinės sudėties, mechaninių savybių ir slūgsojimo gylio.
The object of the thesis is soils that occur within the territory of Lithuania and may be used as basis for building foundations. The thesis studies the interpretation of the results of Dynamic Penetration Test (DPT) and Cone Penetration Test (CPT) of soils, reliability of direct (number of blows (Nx)) and de-rivative (dynamic point resistance (qd)) DPT parameters, analyses the result influencing factors and interrelation between DPT and CPT parameters. The data analysis has been performed by means of mathematical methods of statistics, also using analytical and empirical solutions. Having examined calculation data it was determined that the indirect parameter of Dy-namic Penetration Test – dynamic point resistance (qd) should not be used due to calculation de-faults and replaced with the direct parameter – number of blows (Nx). The analysis of DPT re-sults influencing factors shows that the lateral overburden pressure together with friction of rods are those with the greatest impact on penetration data. Within the scope of the work, it has re-vealed that the number of blows (Nx) and static cone resistance (qc) are closely correlated and it depends on the grain size distribution of soil, geotechnical properties and depth of occurrence.
APA, Harvard, Vancouver, ISO, and other styles
4

Olbrich, Thomas. "Design-for-Test and Built-In-Self-Test for integrated systems." Thesis, Lancaster University, 1996. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.312594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Touati, Aymen. "Amélioration des solutions de test fonctionnel et structurel des circuits intégrés." Thesis, Montpellier, 2016. http://www.theses.fr/2016MONTT308/document.

Full text
Abstract:
Compte tenu de la complexité des circuits intégrés de nos jours et des nœuds technologiques qui ne cessent pas de diminuer, être au rendez-vous avec les demandes de design, test et fabrication des dispositifs de haute qualité est devenu un des plus grands défis. Avoir des circuits intégrés de plus en plus performants devrait être atteint tout en respectant les contraintes de basse consommation, de niveaux de fiabilité demandés, de taux de défauts acceptables ainsi que du bas coût. Avec ce fascinant progrès de l’industrie des semi-conducteurs, les processus de fabrication sont devenus de plus en plus difficile à contrôler, ce qui rend les puces électroniques de nos jours plus disposés aux défauts physiques. Le test était et restera l’unique solution pour lutter contre l’occurrence des défauts de fabrication ; même il est devenu un facteur prédominant dans le coût totale de fabrication des circuits intégrés. Même si des solutions de test, qui existent déjà, étaient capables de satisfaire ce fameux compromis coût-qualité ces dernières années, il arrive d’observer encore des mécanismes de défauts malheureusement incontrôlables. Certains sont intrinsèquement reliés au processus de fabrication en lui-même. D’autres reviennent sans doute aux pratiques de test et surtout quand on analyse le taux de défauts détectés et le niveau de fiabilité atteint.L’objectif principal de cette thèse est d’implémenter des stratégies de test robustes et efficaces qui répondent aux lacunes des techniques de tests classiques et qui proposent des modèles de fautes plus réalistes et répondent au mieux aux attentes des fournisseurs. Dans l’objectif d’améliorer l’efficacité de test en termes de coût, capacité de couverture de faute, nous présentons divers contributions significatives qui touchent différents domaines entre-autres le test sur le terrain, les tests à hautes fréquences sous contraintes de puissance et finalement le test des chaines de scan.La partie majeure de cette thèse était consacrée pour le développement de nouvelles techniques de tests fonctionnels ciblant les systèmes à processeurs.Les méthodologies appliquées couvrent les problèmes de test sur terrain aussi bien que les problèmes de test de fabrication. Dans le premier cas, la techniques adoptée consiste à fusionner et compacter un ensemble initial de programmes fonctionnels afin d’atteindre une couverture de faute satisfaisante tout en respectant les contraintes du test sur terrain (temps de test réduit et ressource mémoire limitée). Cependant dans le deuxième cas, comme nous avons assez d’informations sur la structure du design, nous proposons un nouveau protocole de test qui va exploiter l’architecture de test existante. Dans ce contexte, nous avons validé et confirmé la relation complémentaire qui joint le test fonctionnel avec le test structurel. D’autres part, cette prometteuse approche assure un test qui respecte les limites de la consommation fonctionnelle et donc une fiabilité meilleure.La dernière contribution de cette thèse accorde toute l’attention à l’amélioration de test de la structure DFT « Design For Test » la plus utilisée qui est la chaîne de scan. Nous présentons dans cette contribution une approche de test qui cible les défauts physiques au sein de la cellule en elle-même.Cette approche représente une couverture de défauts meilleure et une longueur de test plus réduit si nous la comparons avec l’ATPG classique ciblant les mêmes défauts « Intra-cell defect ATPG ».Comme résultat majeur de cette efficace solution de test, nous avons observé une amélioration de 7.22% de couverture de défaut accompagné d’une réduction de 33.5% du temps de test en comparaison avec la couverture et le temps du test atteints par le « Cell-awer ATPG »
In light of the aggressive scaling and increasing complexity of digital circuits, meeting the demands for designing, testing and fabricating high quality devices is extremely challenging.Higher performance of integrated circuits needs to be achieved while respecting the constraints of low power consumption, required reliability levels, acceptable defect rates and low cost. With these advances in the SC industry, the manufacturing process are becoming more and more difficult to control, making chips more prone to defects.Test was and still is the unique solution to cover manufacturing defects; it is becoming a dominant factor in overall manufacturing cost.Even if existing test solutions were able to satisfy the cost-reliability trade-off in the last decade, there are still uncontrolled failure mechanisms. Some of them are intrinsically related to the manufacturing process and some others belong to the test practices especially when we consider the amount of detected defects and achieved reliability.The main goal of this thesis is to implement robust and effective test strategies to complement the existing test techniques and cope with the issues of test practices and fault models. With the objective to further improve the test efficiency in terms of cost and fault coverage capability, we present significant contributions in the diverse areas of in-field test, power-aware at-speed test and finally scan-chain testing.A big part of this thesis was devoted to develop new functional test techniques for processor-based systems. The applied methodologies cover both in-field and end-of manufacturing test issues. In the farmer, the implemented test technique is based on merging and compacting an initial functional program set in order to achieve higher fault coverage while reducing the test time and the memory occupation. However in the latter, since we already have the structure information of the design, we propose to develop a new test scheme by exploiting the existing scan chain. In this case we validate the complementary relationship between functional and structural testing while avoiding over as well under-testing issues.The last contribution of this thesis deals with the test improvement of the most used DFT structure that is the scan chain. We present in this contribution an intra-cell aware testing approach showing higher intra-cell defect coverage and lower test length when compared to conventional cell-aware ATPG. As major results of this effective test solution, we show that an intra-cell defect coverage increase of up to 7.22% and test time decrease of up to 33.5 % can be achieved in comparison with cell-aware ATPG
APA, Harvard, Vancouver, ISO, and other styles
6

McCaul, Courtney Ann. "Dot Counting Test cross-validation." Thesis, Alliant International University, 2017. http://pqdtopen.proquest.com/#viewpdf?dispub=10249120.

Full text
Abstract:

The purpose of this study was to determine the reliability and validity of the Dot Counting Test as a measure of feigned cognitive performance. Archival neuropsychological test data from a “real world” sample of 147 credible and 328 non-credible patients were compared. The Dot Counting Test E-score cutoff of ≥ 17 continued to show excellent specificity (93%). However, sensitivity dropped from approximately 74% documented in 2002 to 51% in the current sample. When the cutoff was lowered to ≥ 15, adequate specificity was maintained (90%) and sensitivity rose to (61%). However, a third of credible patients with borderline IQ failed the test using the Dot Counting Test E-cutoff score, indicating cautious use of the test with individuals who likely have borderline intelligence.

APA, Harvard, Vancouver, ISO, and other styles
7

Remersaro, Santiago. "On low power test and DFT techniques for test set compaction." Diss., University of Iowa, 2008. https://ir.uiowa.edu/etd/211.

Full text
Abstract:
The objective of manufacturing test is to separate the faulty circuits from the good circuits after they have been manufactured. Three problems encompassed by this task will be mentioned here. First, the reduction of the power consumed during test. The behavior of the circuit during test is modified due to scan insertion and other testing techniques. Due to this, the power consumed during test can be abnormally large, up to several times the power consumed during functional mode. This can result in a good circuit to fail the test or to be damaged due to heating. Second, how to modify the design so that it is easily testable. Since not every possible digital circuit can be tested properly it is necessary to modify the design to alter its behavior during test. This modification should not alter the functional behavior of the circuit. An example of this is test point insertion, a technique aimed at reducing test time and decreasing the number of faulty circuits that pass the test. Third, the creation of a test set for a given design that will both properly accomplish the task and require the least amount of time possible to be applied. The precision in separation of faulty circuits from good circuits depends on the application for which the circuit is intended and, if possible, must be maximized. The test application time is should be as low as possible to reduce test cost. This dissertation contributes to the discipline of manufacturing test and will encompass advances in the afore mentioned areas. First, a method to reduce the power consumed during test is proposed. Second, in the design modification area, a new algorithm to compute test points is proposed. Third, in the test set creation area, a new algorithm to reduce test set application time is introduced. The three algorithms are scalable to current industrial design sizes. Experimental results for the three methods show their effectiveness.
APA, Harvard, Vancouver, ISO, and other styles
8

Kozielová, Magda. "Statistické metody pro vyhodnocování senzorických dat." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2009. http://www.nusl.cz/ntk/nusl-228883.

Full text
Abstract:
\par The thesis deals with the statistical evaluation of data gained by the sensory analysis of the foodstuff. It brings a selection of the suitable statistical tests, a detailed analysis of these tests and their comparision based on the particular power functions for given parameters. As an important part of the thesis, there is a creating of custom software for the evaluating of sensorial data.
APA, Harvard, Vancouver, ISO, and other styles
9

Chroboček, Michal. "Případové studie pro statistickou analýzu dat." Master's thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2009. http://www.nusl.cz/ntk/nusl-217911.

Full text
Abstract:
This thesis deals with questions which are related to the creation of case studies for statistical data analysis using applied computer technology. The main aim is focused on showing the solution of statistical case studies in the field of electrical engineering. Solved case studies include task, exemplary solution and conclusion. Clarity of explained theory and the results understanding and interpretation is accentuated. This thesis can be used for practical education of applied statistical methods, it’s also supplemented with commented outputs from Minitab. Trial version of Minitab has been used for solution of case studies.
APA, Harvard, Vancouver, ISO, and other styles
10

Jameson, Kathryn G. "A Brief DBT Treatment for Test Anxiety." Xavier University / OhioLINK, 2015. http://rave.ohiolink.edu/etdc/view?acc_num=xavier1415364872.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Akbay, Selim Sermet. "Constraint-driven RF test stimulus generation and built-in test." Diss., Georgia Institute of Technology, 2009. http://hdl.handle.net/1853/33913.

Full text
Abstract:
With the explosive growth in wireless applications, the last decade witnessed an ever-increasing test challenge for radio frequency (RF) circuits. While the design community has pushed the envelope far into the future, by expanding CMOS process to be used with high-frequency wireless devices, test methodology has not advanced at the same pace. Consequently, testing such devices has become a major bottleneck in high-volume production, further driven by the growing need for tighter quality control. RF devices undergo testing during the prototype phase and during high-volume manufacturing (HVM). The benchtop test equipment used throughout prototyping is very precise yet specialized for a subset of functionalities. HVM calls for a different kind of test paradigm that emphasizes throughput and sufficiency, during which the projected performance parameters are measured one by one for each device by automated test equipment (ATE) and compared against defined limits called specifications. The set of tests required for each product differs greatly in terms of the equipment required and the time taken to test individual devices. Together with signal integrity, precision, and repeatability concerns, the initial cost of RF ATE is prohibitively high. As more functionality and protocols are integrated into a single RF device, the required number of specifications to be tested also increases, adding to the overall cost of testing, both in terms of the initial and recurring operating costs. In addition to the cost problem, RF testing proposes another challenge when these components are integrated into package-level system solutions. In systems-on-packages (SOP), the test problems resulting from signal integrity, input/output bandwidth (IO), and limited controllability and observability have initiated a paradigm shift in high-speed analog testing, favoring alternative approaches such as built-in tests (BIT) where the test functionality is brought into the package. This scheme can make use of a low-cost external tester connected through a low-bandwidth link in order to perform demanding response evaluations, as well as make use of the analog-to-digital converters and the digital signal processors available in the package to facilitate testing. Although research on analog built-in test has demonstrated hardware solutions for single specifications, the paradigm shift calls for a rather general approach in which a single methodology can be applied across different devices, and multiple specifications can be verified through a single test hardware unit, minimizing the area overhead. Specification-based alternate test methodology provides a suitable and flexible platform for handling the challenges addressed above. In this thesis, a framework that integrates ATE and system constraints into test stimulus generation and test response extraction is presented for the efficient production testing of high-performance RF devices using specification-based alternate tests. The main components of the presented framework are as follows: Constraint-driven RF alternate test stimulus generation: An automated test stimulus generation algorithm for RF devices that are evaluated by a specification-based alternate test solution is developed. The high-level models of the test signal path define constraints in the search space of the optimized test stimulus. These models are generated in enough detail such that they inherently define limitations of the low-cost ATE and the I/O restrictions of the device under test (DUT), yet they are simple enough that the non-linear optimization problem can be solved empirically in a reasonable amount of time. Feature extractors for BIT: A methodology for the built-in testing of RF devices integrated into SOPs is developed using additional hardware components. These hardware components correlate the high-bandwidth test response to low bandwidth signatures while extracting the test-critical features of the DUT. Supervised learning is used to map these extracted features, which otherwise are too complicated to decipher by plain mathematical analysis, into the specifications under test. Defect-based alternate testing of RF circuits: A methodology for the efficient testing of RF devices with low-cost defect-based alternate tests is developed. The signature of the DUT is probabilistically compared with a class of defect-free device signatures to explore possible corners under acceptable levels of process parameter variations. Such a defect filter applies discrimination rules generated by a supervised classifier and eliminates the need for a library of possible catastrophic defects.
APA, Harvard, Vancouver, ISO, and other styles
12

Darlay, François. "Contribution au test des circuits intégrés CMOS : étude du test des pannes stuck-on et stuck-open." Grenoble INPG, 1989. http://www.theses.fr/1989INPG0128.

Full text
Abstract:
Cette thèse traite de la détection des pannes du niveau transistors, pour la technologie CMOS : les pannes de type stuck-on et stuck-open sont considérées
Ces pannes confèrent aux circuits CMOS des comportements spécifiques : une panne stuck-on (transistor colle ferme) transforme un circuit logique en circuit analogique, tandis qu'une panne stuck-open (transistor colle ouvert) transforme un circuit séquentiel. Le test de différents types de réseaux logiques est abordé. Nous distinguons les réseaux sans sortance multiple (FOF: Fan-Out Free), à sortance multiple non-reconvergente (NRFO : non-reconvergent Fan-Out), et à sortance multiple reconvergente (RFO: reconvergent Fan-Out)
L'étude des stuck-open dans les réseaux FOF et NRFO est conclue par la définition de séquences de vecteurs permettant de détecter toutes les pannes stuck-open simples et multiples. Les réseaux RFO posent le problème de l'invalidation des séquences par des phénomènes temporels. Ce problème est traité en détail, et un critère est obtenu, permettant d'identifier les portes non entièrement testables. Une solution du type DFT (Design For Testability: conception pour la testabilité) est proposée
Le cas des pannes multiples stuck-on/stuck-open est ensuite abordé, et il est montre que les séquences définies précédemment pour les stuck-open détectent également les pannes stuck-on/stuck-open multiples
Enfin, une application de ces résultats est présentée, sous forme d'un générateur de vecteurs de test intégré. La comparaison de ce générateur avec les dispositifs existants fait apparaitre une diminution sensible du nombre de vecteurs appliqués
APA, Harvard, Vancouver, ISO, and other styles
13

Perez, Waldo Flores, Jorge Chavez Cerdena, Gary Duran Ramirez, and Maggie Martinelli Montoya. "Correlation of dynamic probing light (DPL) and standard penetration test (SPT) for sandy soil of alluvial origin." Institute of Electrical and Electronics Engineers Inc, 2020. http://hdl.handle.net/10757/656568.

Full text
Abstract:
El texto completo de este trabajo no está disponible en el Repositorio Académico UPC por restricciones de la casa editorial donde ha sido publicado.
In geotechnical studies, the dynamic probing light (DPL) turns out to be an alternative method to the Standard Penetration test (SPT) for the determination of soil parameters. The requirement of various regulations for correlating both tests in the same field and not establishing a methodology to carry it out, limits the practical scope of dynamic probing light. Thus, this research presents a correlation methodology between the dynamic probing light (DPL) and the Standard Penetration test (SPT) specifically for sandy soils (SP and SP-SM) located in an area of Chilca, located in Cañete. - Lima Peru. For the analysis, more than 400 data pairs were used, obtaining two linear correlations between the count blow of Standard Penetration-NSPT test and the dynamic probing light method-N10, which presented an adjustment correlation between 84% and 87 %.
APA, Harvard, Vancouver, ISO, and other styles
14

Melkersson, Ellen. "Är det någon förändring i läshastighet hos olika åldrar med hjälp av overlays?" Thesis, Linnéuniversitetet, Institutionen för medicin och optometri (MEO), 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:lnu:diva-44680.

Full text
Abstract:
Syfte: Syftet var att undersöka om det fanns någon skillnad i läshastighet med hjälp utav ett färgat filter (overlay) framför en text. Det undersöktes också om det var någon skillnad i ändringen av läshastighet mellan två olika åldersgrupper, en där deltagarna var över 18 år och en grupp där deltagarna var under 18 år gamla. Metod: Studien bestod av ett antal kliniska tester, klarade deltagarna normalvärdena för dessa tester fick de läsa ett lästest i en minut där antalet ord istället räknades och antecknades. Ett overlay valdes sedan och deltagaren läste då ett andra test i en minut där det också räknades ord och antecknades för att se om det fanns någon skillnad mellan läsningarna. Färgen och vilken sida på overlayet som valdes antecknades. Resultat: En signifikant skillnad mellan att läsa med och utan overlay fanns (p < 0,001). I de båda åldersgrupperna skedde en ökning av läshastighastigheten med hjälp utav ett overlay. Ökningen i den yngre åldersgruppen var 7,3 % med hjälp av overlay och i gruppen där deltagarna var över 18 år var förbättringen 6,1 %. I de båda grupperna fanns det också ett fåtal deltagare som läste saktare med overlay. Slutsats: Överlag visar detta att overlays kan hjälpa till att öka läshastigheten både hos barn och vuxna. Valet av färg på overlays skiljde sig åt mellan grupperna.
The aim of this study was to investigate if there was any difference in the reading speed with a coloured overlay when it was used on top of the text of a newly developed swedish reading test. The study also investigated if there were any differences in the change of reading speed between two different age groups. The study consisted of a number of clinical tests, the tests were visual aquity assessment for near and distance, colour vision and stereopsis. If the participants managed the normal values for these tests they were allowed to read a swedish reading test out loud three times for one minute each. The first time was for learning the structure of the reading test, the second time was read without overlay and the third time was read with an overlay. In the last two times the number of words were counted and noted. There was a significant difference in the reading speed with and without overlay (p < 0.001). In both the age groups there was an increase in reading speed when the overlay was used. The increase was 7.3 % with overlay in the younger age group and in the group where the participants were over 18 years old, the increase was 6.1 %. In both of the groups there was a small number of people whose reading speed was reduced when using an overlay. Overall this study shows that overlays can increase the reading speed in both children and adults. The chosen colour on the overlay differed between the two groups.
APA, Harvard, Vancouver, ISO, and other styles
15

Wang, Xian. "Enabling low cost test and tuning of difficult-to-measure device specifications: application to DC-DC converters and high speed devices." Diss., Georgia Institute of Technology, 2015. http://hdl.handle.net/1853/53521.

Full text
Abstract:
Low-cost test and tuning methods for difficult-to-measure specifications are presented in this research from the following perspectives: 1)"Safe" test and self-tuning for power converters: To avoid the risk of device under test (DUT) damage during conventional load/line regulation measurement on power converter, a "safe" alternate test structure is developed where the power converter (boost/buck converter) is placed in a different mode of operation during alternative test (light switching load) as opposed to standard test (heavy switching load) to prevent damage to the DUT during manufacturing test. Based on the alternative test structure, self-tuning methods for both boost and buck converters are also developed in this thesis. In addition, to make these test structures suitable for on-chip built-in self-test (BIST) application, a special sensing circuit has been designed and implemented. Stability analysis filters and appropriate models are also implemented to predict the DUT’s electrical stability condition during test and to further predict the values of tuning knobs needed for the tuning process. 2) High bandwidth RF signal generation: Up-convertion has been widely used in high frequency RF signal generation but mixer nonlinearity results in signal distortion that is difficult to eliminate with such methods. To address this problem, a framework for low-cost high-fidelity wideband RF signal generation is developed in this thesis. Depending on the band-limited target waveform, the input data for two interleaved DACs (digital-to-analog converters) system is optimized by a matrix-model-based algorithm in such a way that it minimizes the distortion between one of its image replicas in the frequency domain and the target RF waveform within a specified signal bandwidth. The approach is used to demonstrate how interferers with specified frequency characteristics can be synthesized at low cost for interference testing of RF communications systems. The frameworks presented in this thesis have a significant impact in enabling low-cost test and tuning of difficult-to-measure device specifications for power converter and high-speed devices.
APA, Harvard, Vancouver, ISO, and other styles
16

Lu, Su. "DCT coefficient based text detection." Access to citation, abstract and download form provided by ProQuest Information and Learning Company; downloadable PDF file, 57 p, 2008. http://proquest.umi.com/pqdweb?did=1605147371&sid=4&Fmt=2&clientId=8331&RQT=309&VName=PQD.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Buck, Robert. "An investigation of attentional bias in test anxiety." Thesis, University of Manchester, 2018. https://www.research.manchester.ac.uk/portal/en/theses/an-investigation-of-attentional-bias-in-test-anxiety(7fdeadaf-f76d-47da-b99f-dc532a3b1ca4).html.

Full text
Abstract:
Test anxiety is an individual personality trait, which results in elevated state anxiety in situations of performance evaluation. For school-age children, high-stakes examinations occurring at the culmination of programmes of study are where they frequently experience such evaluation. Alongside its impact on an individual's wellbeing, heightened test anxiety has been reliably linked to deficits in performance on examinations and assessments. Attentional bias has been shown to be an aspect of many forms of anxiety and is considered to have role in the maintenance of state anxiety, though the mechanisms underlying this are not fully clear. However, Attentional Control Theory (Eysenck, Derakshan, Santos, & Calvo, 2007) implicates preferential allocation of attention to threat in its explanation of performance deficits associated with test anxiety. The presence of attentional bias in test anxiety appears theoretically plausible with some empirical support (e.g. Putwain, Langdale, Woods and Nicholson, 2011); however, its reliability is under question. This study aims to investigate the presence of attentional bias in test anxiety, with a view to further understanding its underlying mechanisms and informing the development of interventions to ameliorate its effects. To ensure ecological validity, this study was conducted in schools and colleges, with a sample of 16-18-year olds following high-stakes programmes of study. Full investigation of test anxiety requires individuals to experience heightened state anxiety through performance evaluation threat; hence, the Trier Social Stress Test (TSST) was modified to make it applicable to this context and population. This study was conducted in two experimental phases, both of which adopted a mixed methodological approach to provide quantitative and qualitative data. The preliminary phase evaluated the materials and anxiety manipulation protocols. The main phase employed the modified-TSST in collaboration with a dot-probe task to investigate participants' attentional bias when under high performance evaluation threat. No patterns of attentional bias were uncovered to indicate a consistent relationship to either trait test anxiety or attentional control. However, there was a level of congruence between how some individuals describe themselves in evaluative situations and the attentional bias they displayed. Further investigation employing mixed methodological approaches such as Single Case Experimental Design is recommended to identify and address attentional bias in test anxiety.
APA, Harvard, Vancouver, ISO, and other styles
18

Jouck, Stefanie [Verfasser]. "Dordel-Koch-Test (DKT) : ein Test zur Erfassung der motorischen Leistungsfähigkeit im Kindes und Jugendalter / Stefanie Jouck." Köln : Zentralbibliothek der Deutschen Sporthochschule, 2009. http://d-nb.info/1093884290/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Taskinen, Timo I. "On the steady-state flow of an elastic-plastic material past cones and wedges." Thesis, University of Oxford, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.302330.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Fritz, Jessica, and Maria Wennberg. "Svenska civilekonomstudenters etiska resonemangsförmåga : En kvantitativ studie med DIT-testet utförd vid Umeå universitet." Thesis, Umeå universitet, Företagsekonomi, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:umu:diva-137486.

Full text
Abstract:
Problembakgrund: Behovet av affärsetik är utbrett i affärsvärlden. Flertalet händelser som skakat affärsvärlden är skandalerna om Lehman Brothers, WorldCom, Enron och Arthur Andersen, som skett kring millennieskiftet. Efter dessa skandaler har affärsetik uppmärksammats i stor utsträckning och flertalet företag använder sig av policys kring etiskt beteende. Affärsetiskt agerande är delvis lagstiftat men enskilda individers beslut är avgörande. Vårt intresse för affärsetik kommer från rekryteringsprocesser som vi själva genomgått och som innehöll tydliga inslag av affärsetik. Genom dessa processer har vi fått uppfattningen om att arbetsgivare, där vi ansökt om arbete, söker individer med hög etisk resonemangsförmåga. Problemformulering: Problembakgrunden har lett till följande problemformulering med efterföljande delfråga. ● Baserat på DIT-testet, hur resonerar svenska civilekonomstudenter etiskt? ● Går det att påvisa skillnader på etisk resonemangsförmåga, uppmätt med DIT-testet, mellan studenterna på de olika inriktningarna på civilekonomprogrammet vid Umeå universitet? Syfte: Kopplingen mellan studenter och affärsvärlden har bidragit till studiens syfte, vilket är att skapa förståelse för hur svenska civilekonomstudenter resonerar etiskt. Kunskapen kan användas av framtida arbetsgivare vid rekrytering, medan universitet kan utnyttja kunskapen vid planering av kursplaner och etisk utbildning. Civilekonomerna själva ges en bild av hur de resonerar etiskt. I övrigt förs en dialog kring eventuella geografiska och kulturella skillnader mellan svenska civilekonomstudenter och övriga jämförda länders ekonomistudenter. Syftet är även att diskutera ytterligare påverkansfaktorer av etisk resonemangsförmåga såsom kön, ålder och utbildningsnivå. Metod: Studien genomförs med en kvantitativ ansats och undersöker civilekonomstudenter på Umeå universitets etiska resonemangsförmåga. Mätinstrumentet som används är ett test med ett antal frågor utformade av James Rest, benämnt DIT-testet. DIT-testet distribueras i form av en anonym webbenkät. Enkäten skickas ut till samtliga civilekonomstudenter på Umeå universitet och ursprungstanken var att uttala oss om populationen Sveriges civilekonomstudenter. Enkäten sänds ut till 165 studenter och totalt besvarade 59 stycken på enkäten. Av dessa svar var 42 svar användbara, efter det interna bortfallet borträknats. Med tanke på antalet respondenter och svårigheten att säkerställa likheten mellan studieorter som erbjuder civilekonomprogram, blir resultatet en studie som generaliseras till Umeå universitet där vi ser likheter till Sveriges övriga civilekonomprogram. Enkäten sammanställs utifrån en rättningsmall, varifrån en etisk profil utläses. Resultatet analyseras med hjälp av Minitab, Excel och SPSS. Teori: Teorikapitlet består av en genomgång av tidigare studier gjorda med DIT-testet som mätinstrument. Tyngden har lagts vid studier gjorda på ekonomistudenter från olika delar av världen. Rests DIT-test bygger på Lawrence Kohlbergs studie om moralisk utveckling, vilken innehåller tre nivåer; den premoraliska, den konventionella och den principiella nivån. Vidare bygger studien på redovisningsteori av bland andra Riahi-Belkaoui som beskriver hur kvantitativ finansiell information bör tillhandahållas för att vara användbar vid ekonomiskt beslutstagande samt det faktum att redovisningsteori på internationell nivå innehåller skillnader. Avslutningsvis används Hofstedes teori om kulturella skillnader. Teorin innehåller fem dimensioner som har inverkan på kulturella skillnader. Här omnämns “avstånd till makt”, “osäkerhetsundvikande “individualism mot kollektivism”, “manligt mot kvinnligt” och “långeller kortsiktig framtidssyn” som de fem dimensionerna. Empiri och slutsats: Det empiriska resultatet visar att civilekonomstudenterna uppnår ett DITvärde på 32,65. DIT-värdet på 32,65 är i linje med de jämförda länderna Irland, Australien, Kina, Malaysia och Amerika. Att DIT-värden inte skiljer sig mer åt länder emellan förklarar vi med hjälp av globalisering, lagstiftningens utformning och det faktum att frågorna i DITtestet rör livets stora frågor som liv och död, där vi tänker att värderingar tenderar att vara lika över nationsgränser. Vidare visar studien att männens DIT-värde i genomsnitt är 28,56, medan kvinnornas genomsnittliga DIT-värde är något högre på 36,03. Skillnaden mellan kön har empiriskt stöd. I studien undersöks även programinriktningarna vid civilekonomprogrammet, varvid ingen signifikant skillnad framgår. Även ålder har analyserats och här finns inget signifikant stöd för skillnad i medelvärden, men vi kan utläsa en tendens att individer med en högre ålder, når en högre etisk resonemangsförmåga. Övriga påverkansfaktorer diskuteras, däribland utbildningsnivå och personlighet.
APA, Harvard, Vancouver, ISO, and other styles
21

Widholm, Anna. "Att mötas i text." Thesis, Malmö högskola, Lärarutbildningen (LUT), 2008. http://urn.kb.se/resolve?urn=urn:nbn:se:mau:diva-35792.

Full text
Abstract:
Syftet med denna studie är att studera huruvida det vidgade textbegreppet kan skapa ett samarbete mellan bildämnet och svenskämnet. I studien har jag även undersökt om synen på det vidgade textbegreppet skiljer sig åt mellan bildlärare och svensklärare. Jag har även studerat om synen på det vidgade textbegreppet skiljer sig åt mellan den ämnesdidaktiska litteraturen för bildämnet respektive svenskämnet. När jag undersökt detta har jag intresserat mig för Pierre Bourdieus tankar om högt och lågt inom kulturen. Med begreppet högt och lågt menas att det finns en kulturell hierarkisering inom kulturen som bibehåller kulturella skillnader mellan olika konstarter och uttrycksformer och som en följd av detta mellan olika klasser i samhället. Jag har undersökt om denna syn finns med i bildlärarnas och svensklärarnas syn på det vidgade textbegreppet och detta har jag gjort genom kvalitativa intervjuer med fyra lärare. I intervjuerna har det visat sig att det vidgade textbegreppet används av både bildlärare och svensklärare och att det finns en öppenhet till samarbete om inte detta inkräktar för mycket på det egna ämnet. Det har även visat sig att textbegreppet ges samma innebörd i bildämnets ämnesdidaktiska litteratur och i svenskämnets. Min slutsats är att det vidgade textbegreppet används i mindre projekt men att det inte alltid finns med som ett perspektiv i all undervisning.
APA, Harvard, Vancouver, ISO, and other styles
22

Fadrný, Tomáš. "Statistické zhodnocení dat." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2009. http://www.nusl.cz/ntk/nusl-228740.

Full text
Abstract:
This diploma thesis evaluates and processes data from final device checks. All the devices are similar types of thermal overcurrent relays by the ABB company. For appropriate statistical data processing, the Minitab 14 statistical software was used and various statistical methods were applied. Results are always listed for each device type and each method used. The diploma thesis is divided into two parts. The first one analyzes the methods used and the second part states the method results. There is also an overall evaluation of the processed data.
APA, Harvard, Vancouver, ISO, and other styles
23

Fei, Richun. "Solutions alternatives pour améliorer le test de production des capteurs optiques en technologie CMOS." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT117.

Full text
Abstract:
Le test de production des imageurs CMOS est une étape clé du flot de fabrication afin de garantir des produits répondant aux critères de qualité et exempts de défauts de fabrication. Ces tests sont classifiés en test électrique et test optique. Le test électrique est basé sur du test structurel qui vérifie la partie numérique et certain blocks analogiques. La plus grande partie des circuits analogiques et la matrice des capteurs sont testés par le test optique. Ce test est basé sur des captures d'images et sur une recherche des défauts au moyen d'algorithmes de calcul spécifiques appliqué sur les images. Proche du fonctionnement applicatif, ils sont qualifies de test fonctionnels. La couverture des défauts obtenue par les tests de type fonctionnel est généralement inférieure à celle obtenue par un test structurel. L'objectif de cette thèse est d'étudier et développer des solutions de test alternatives aux tests fonctionnels afin d'obtenir des meilleurs taux de couverture de défauts, améliorant ainsi la fiabilité, tout en réduisant le temps de test et son coût. Parmi les défauts optiques qui ont causé des retours client par le passés, le défaut qui présent Horizontal Fixed Pattern Noise (HFPN) donnent lieu à un taux de couverture insuffisant. Ces recherches ont été orientées vers l'amélioration du taux de couverture de défauts dite de HFPN dans le test de production des imageurs CMOS.Le HFPN est défini comme une sorte d'image défaillante qui présente sous la forme des bandes résiduelles horizontales. Il est principalement causé par les défauts dans les lignes d'interconnexion qui alimentent et pilotent les pixels. La détection d'un défaut HFPN dans les tests optiques actuels est par comparer les valeurs moyennes de chaque ligne de pixels avec les lignes adjacentes. Si la différence d'une ligne par rapport aux lignes adjacentes est supérieur à la limites spécifié, la ligne est constaté comme défectueuse. Cette limite est donc difficile d'être ajusté face à un compromis entre le taux de couverture de ce défaut et le rendement.Dans cette thèse, nous avons proposé d'abord une amélioration de l'algorithme de détection pour améliorer le test optique actuelle. L'amélioration de test optique est validée par des résultats de test en production en appliquant le nouvel algorithme. Par la suite, une technique d'auto test (BIST) pour la détection des défauts dans les lignes d'interconnexion de matrice des pixels est étudiée et évalué. Enfin, une puce imageur avec le technique d'auto test embarqué est conçu et fabriqué pour la validation expérimentale
Current production testing of CMOS imager sensors is mainly based on capturing images and detecting failures by image processing with special algorithms. The fault coverage of this costly optical test is not sufficient given the quality requirements. Studies on devices produced at large volume have shown that Horizontal Fixed Pattern Noise (HFPN) is one of the common image failures encountered on products that present fault coverage problems, and this is the main cause of customer returns for many products. A detailed analysis of failed devices has demonstrated that HFPN failures arise from changes of electronic circuit topology in pixel addressing decoders or the metal lines required for pixel powering and control. These changes are usually due to the presence of spot defects, causing some pixels in a row to operate incorrectly, leading to an HFPN failure. Moreover, defects resulting in partially degraded metal lines may not induce image failure in limited industrial test conditions, passing the optical tests. Later, these defects may produce an image failure in the field, either because the capture conditions would be more stringent, or because the defects would evolve into catastrophic faults due to electromigration. In this paper, we have first enhanced the HFPN detection algorithm in order to improve the fault coverage of the optical test. Next, a built-in self-test structure is presented for the on-chip detection of catastrophic and non-catastrophic defects in the pixel power and control lines
APA, Harvard, Vancouver, ISO, and other styles
24

Jani, Imed. "Test et caractérisation des interconnexions 3D haute densité." Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT094.

Full text
Abstract:
L'intégration de plusieurs puces dans un empilement 3D constitue un autre moyen d'avancer dans le domaine « More-than-Moore ». L’intégration 3D consiste à interconnecter les circuits intégrés en trois dimensions à l'aide des interconnexions inter-puces (µ-bumps ou Cu-Cu interconnexions) et les TSVs (Through Silicon Vias). Ce passage d'une interconnexion horizontale à une interconnexion verticale est très prometteur en termes de rapidité et de performances globales (délai RC, consommation et facteur de forme). D'autre part, pour le développement technologique de l’intégration 3D avant la production des plaques (wafers) de 300 mm avec toutes les couches FEOL et BEOL, plusieurs plaques (short-loop) doivent être réalisées pour permettre la caractérisation incrémentale et le test structurel des interconnexions 3D afin d'évaluer la performances électriques (R, L, C…). D'autre part, le test des circuits d'application consiste à ajouter des fonctionnalités de testabilité (Boundary-Scan-Cells (BSC), Built-In-Self-Test (BIST) et des chaînes de scan …) pour le test fonctionnel du circuit 3D (y compris les puces empilées et les interconnexions 3D). L'architecture DFT (Design-For-Test) ajoutée facilite le développement et l'application des tests de fabrication au circuit conçu. Par rapport aux interconnexions µ-bumps, la liaison hybride Cu-Cu offre une alternative pour descendre au-dessous de 10µm de pas entre les interconnexions (pitch) avec des propriétés physiques améliorées, mais cela génère de nouveaux défis pour les tests et la caractérisation; plus la taille de la plaque de cuivre est petite, plus les défauts de fabrication et de liaison ont un impact important sur le rendement et les performances. Des défauts tels que le désalignement, des « µ-voids » et des défauts de contact à la surface du cuivre peuvent affecter considérablement les caractéristiques électriques et la durée de vie du circuit 3D. De plus, l'insertion d'une infrastructure de test pour les circuits intégrés 3D HD présente de nouveaux défis en raison de la densité d'interconnexions élevée et du coût de l’insertion de l’infrastructure du test. C’est dans ce contexte que s’inscrit cette thèse de doctorat dans laquelle une structure de test innovante de désalignement a été développée. L’approche proposée permet de mesurer avec précision le désalignement des interconnexions, de connaître la direction du désalignement et d’estimer la résistance de contact. Une étude théorique a ensuite été réalisée pour définir l’infrastructure DFT la plus optimisée en fonction de la valeur du pas minimal acceptable pour un nœud technologique donné, afin de garantir la testabilité des circuits 3D haute densité. De plus, une architecture DFT optimisée permettant un test avant et après assemblage des circuits 3D haute densité (Mémoire-sur-Logique) a été proposée. Enfin, pour évaluer les performances des circuits 3D haute densité, deux BISTs complémentaires ont été mis en œuvre dans un circuit d’application utilisant la même structure de test de désalignement développée ci-dessus et une chaîne d’interconnexions Cu-Cu. En utilisant les résultats des tests, d’une part, l’impact du défaut de désalignement sur le temps de propagation a été étudié et, d’autre part, les défauts de contact et les « µ-voids » au niveau de la surface de contact ont été détectés
The integration of multiple chips in a 3D stack serves as another path to move forward in the more-than-Moore domain. 3D integration technology consists in interconnecting the integrated circuits in three dimensions using inter-die interconnects (μ-bumps or Cu-Cu interconnects) and Through Silicon Vias (TSV). This changeover from horizontal to vertical interconnection is very promising in terms of speed and overall performances (RC delay, power consumption and form factor). On the other side, for technology development of 3D integration before the production of the 300 mm wafers with all FEOL and BEOL layers, several short-loops must been carried out to enable incremental characterization and structural test of 3D interconnects in order to evaluate the electrical performances (R, L, C …). In the other hand, the test of application circuits consists in adding testability features (Boundary-Scan-Cells (BSCs), Built-In-Self-Test (BIST) and scan chains …) for functional test of the hardware product design (including the different stacked dies and the 3D interconnections) . The added Design-For-Test (DFT) architecture make it easier to develop and apply manufacturing tests to the designed hardware. Compared to μ-bumps, Cu-Cu hybrid bonding provides an alternative for future scaling below 10μm pitch with improved physical properties but that generates new challenges for test and characterization; the smaller the Cu pad size, the more the fabrication and bonding defects have an important impact on yield and performance. Defects such as bonding misalignment, micro-voids and contact defects at the copper surface, can affect the electrical characteristics and the life time of 3D-IC considerably. Moreover, test infrastructure insertion for HD 3D-ICs presents new challenges because of the high interconnects density and the area cost for test features. Hence, in this thesis work, an innovative misalignment test structure has been developed and implemented in short-loop way. The proposed approach allows to measure accurately bonding misalignment, know the misalignment direction and estimate the contact resistance. Afterwards, a theoretical study has been performed to define the most optimized DFT infrastructure depending on the minimum acceptable pitch value for a given technology node to ensure the testability of high-density 3D-ICs. Furthermore, an optimized DFT architecture allowing pre-bond and post-bond for high-bandwidth and high-density 3D-IC application (SRAM-on-Logic) has been proposed. Finally, to assess performance of HD 3D-ICs, two complementary BISTs has been implemented in an application circuit using the same misalignment test structure developed above and a daisy chain of Cu-Cu interconnects. Using test results, on the one hand, the impact of misalignment defect on the propagation delay has been studied and on the other hand full open and μ-voids defects at the contact surface level has been detected
APA, Harvard, Vancouver, ISO, and other styles
25

Abdallah, Louay. "Capteurs embarqués non-intrusifs pour le test des circuits RF." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-01062479.

Full text
Abstract:
Cette thèse vise l'étude de techniques de type BIST pour un front-end RF, considérant des nouveaux types des capteurs intégrés très simples pour l'extraction de signaux. Ces signaux et les stimuli de test associés seront par la suite traités par des algorithmes de l'apprentissage automatique qui devront permettre une prédiction des performances des différents blocs du système. Une évaluation des capteur proposés en tant que métriques de test paramétrique et couverture des fautes catastrophique sera nécessaire pour pouvoir aboutir à des techniques de test à bas coût pour le test de production, permettant une réduction importante du coût de revient des produits.
APA, Harvard, Vancouver, ISO, and other styles
26

Kříž, Jan. "Český trh s akciemi." Master's thesis, Vysoká škola ekonomická v Praze, 2008. http://www.nusl.cz/ntk/nusl-17104.

Full text
Abstract:
This work focuses on the Czech stock market. Work is focused on the application of the theory of efficient markets, which is one of the theories explaining the evolution of prices of securities, the stock market in the Czech Republic from 2000 to 2008. Efficient market theory represents a random walk, which is used as a tool to describe the phenomenon where the future price changes are random divergence from past prices. The thesis is divided into several parts. The first part presents an actual stock market in the Czech Republic. Because most of the work deals with the analysis yields the PX stock index was that in the next section in detail described and analyzed. Furthermore, the Czech stock market has been analyzed in terms of structure and trading volume. The next section has described the theory of efficient markets. Was described briefly the history of this theory. Another part of this work can be described as a purely practical. First, the work focuses on the market in the one year time series of returns. In second part were analyzed medium-long term consisting of three year time series of returns. The last analyzed period was long term, consisting of eight-year time series of returns from 2000 to 2008.
APA, Harvard, Vancouver, ISO, and other styles
27

Zeroual, Fatima-Zohra. "Evaluation de l'écotoxicité et de l'impact cellulaire de contaminants minéraux ou organiques sur un microcosme aquatique." Rouen, 1998. http://www.theses.fr/1998ROUES034.

Full text
Abstract:
L'écotoxicité et l'impact cellulaire de divers contaminants minéraux (Hg, Pb, Cd, As, Ni, Mn) ou organiques (Chlordane, DDT, 2,4-D, Glyphosate, Diméthoate) ont été évalués sur un microcosme aquatique réalisé en boite de Pétri contenant une gélose nutritive recouverte d'eau. Le microcosme regroupe un producteur représenté par l'algue verte Chlorella vulgaris qui pousse sur la gélose durcie et un consommateur primaire représenté par le crustacé Daphnia magna qui est introduit dans la phase liquide. Les deux espèces constituent ainsi les maillons successifs d'une minichaîne alimentaire aquatique expérimentale. Les contaminants sont apportés directement par le milieu ou indirectement par la nourriture. Les effets biologiques étudiés pour chaque xénobiotique sont l'inhibition de la croissance des alques, la mortalité et l'inhibition de la reproduction des daphnies mères ainsi que la mortalité des nouveaux-nés. L'acquisition de la résistance au cadmium a été étudiée sur plusieurs générations de daphnies. De toute la série des contaminants étudiés, il apparaît que le cadmium et le DDT sont les plus toxiques pour le couple trophique. Cependant, les jeunes daphnies peuvent développer une résistance au cadmium lorsque celui-ci se trouve en faibles concentrations dans le milieu. Le cadmium et le chlorane, agents potentiellement cancérogènes, ont été choisis pour étudier l'impact cellulaire des contaminants de l'environnement sur les deux niveaux trophiques de notre microcosme. Ainsi, nous avons montré que ces deux toxiques diminuent, in vitro, l'apoptose dans les cellules de daphnie. Cette diminution augmente avec la concentration et avec le temps d'exposition. En outre, du cadmium introduit directement dans le milieu de culture de cellules embryonnaires de Hamster Syrien (SHE) ou indirectement par l'intermédiaire de broyats de daphnies, ayant préalablement consommé des algues contaminées par le cadmium, est capable d'inhiber l'apoptose basale dans ces cellules? On pourrait rattacher ce phénomène aux propriétés cancérogènes du cadmium puisque d'autres concérogènes connus, comme le chlordane, inhibent également l'apoptose basale dans les cellules SHE.
APA, Harvard, Vancouver, ISO, and other styles
28

Wintzell, Elna. "Vad innebär det att se en text?" Thesis, Malmö högskola, Lärarutbildningen (LUT), 2008. http://urn.kb.se/resolve?urn=urn:nbn:se:mau:diva-31013.

Full text
Abstract:
Syftet är att undersöka hur några verksamma lärare uppfattar döva och hörselskadade elevers tidiga läs- och skrivutveckling. Metoden är kvalitativa intervjuer med fyra lärare i en specialskola för döva och hörselskadade elever. Jag strävar efter att få en bild av vilka faktorer som kan påverka döva och hörselskadade elevers läs- och skrivutveckling. Vidare vill jag ta reda på hur man kan förbättra döva och hörselskadade elevers tidiga läs- och skrivutveckling.Sammanfattningsvis visar det sig att det finns flera olika faktorer som på olika sätt kan påverka döva och hörselskadade elevers läs- och skrivutveckling. Det kan vara elevernas språkliga bakgrund, lärarnas förhållningssätt till döva och hörselskadade elever, lärarnas arbetssätt, motivation hos eleven, läraren och även personer i elevens omgivning, avsaknad av anpassat läromedel och eventuellt ytterligare funktionshinder hos eleven. De förbättringar jag kom fram till är att det behövs ett gemensamt synsätt på hur man ska arbeta med läs- och skrivutveckling hos döva och hörselskadade elever hos alla lärare och i organisationen. Samarbetet mellan specialskolan och förskolan och hemmet behöver utvecklas.
APA, Harvard, Vancouver, ISO, and other styles
29

Lidebo, Jimmie, and Gustaf Engström. "Installation av magnetiseringsutrustning för bränsle på en dieselgenerator : Kan det sänka bränsleförbrukning och emissioner?" Thesis, Linnéuniversitetet, Sjöfartshögskolan (SJÖ), 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:lnu:diva-25433.

Full text
Abstract:
Denna studie avser att ta reda på om någon förändrad effekt kan fås gällande  bränsleförbrukning och emissioner då dieselolja strömmar igenom ett magnetiskt  fält innan det förbränns i en dieselmotor som driver en generator. Utrustningen som  ger upphov till magnetfältet har tillhandahållits av ett kommersiellt företag. Studien  består av två delar, en teoretisk del och en praktisk del. I den teoretiska delen  presenteras tidigare vetenskapliga studier inom ämnet samt hur tillverkaren av  utrustningen menar att tekniken fungerar. I den praktiska delen har tester gällande  rökgasemissioner och bränsleförbrukning gjorts. Resultatet av testerna är det som i  studien finns presenterat. Rökgasmätningar har skett i enlighet med ISO-standard  8178 med instrumentet Testo 340. Testerna har skett med och utan utrustningen  installerad vid olika belastningar.  Vid jämförelse av dessa tester har det framgått att  den skillnad som fåtts gällande bränsleförbrukning och emissioner ligger inom  ramen för mätfel. Med viss försiktighet kan man anta att vid en specifik belastning  har partikelemissionerna sänkts efter inverkan av den utrustning som installerats.
This study intends to find out if any changed effect can be obtained regarding fuel  consumption and emissions as diesel oil flows through a magnetic field before  injection in a diesel engine that drives a generator. The equipment that causes the  magnetic field is provided by a commercial company. The study consists of two  parts, one theoretical part and one practical part. In the theoretical part, previous  scientific studies are present together with how the manufacturer says that the  technology works. In the practical part tests have been made regarding flue gas  emissions and fuel consumption. The result of these tests is present in the study and  the flue gas emission tests have been made in accordance with ISO standard 8178  with the instrument Testo 340. Tests have been made with and without the  equipment installed at different loads. When comparing these tests it has shown that  the difference obtained regarding fuel consumption and emissions are within the  range of measurement errors. With some care, one can assume on one specific load,  that the particle emissions are reduced by the impact of the installed equipment.
APA, Harvard, Vancouver, ISO, and other styles
30

Nieminen, Johansson Tiina. "Hur kan jag veta det när det inte står i texten? : Läsförståelse bland gymnasieelever med neuropsykiatriska funktionsnedsättningar." Thesis, Linnéuniversitetet, Institutionen för svenska språket (SV), 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:lnu:diva-38569.

Full text
Abstract:
Studies show that some pupils with ASD (autism spectrum disorder) can have difficulties in understanding written texts. The present study seeks to examine whether pupils with ASD differ in their reading comprehension of a factual text and a literary text. Another aim of the study is to obtain a picture of the reading habits of high school pupils with ASD, what reading strategies they use, and how they work with texts in Swedish lessons in school. The study uses texts from PISA surveys from 2009 and 2000. The factual text Varmluftsballongen (The Hot-air Balloon) was taken from the try-out for the 2009 survey and the literary text Gåvan (The Gift) was taken from the 2000 PISA survey. To gain some idea of the pupils’ reading comprehension of the texts, the present study used the tasks accompanying the texts, with certain changes in the questions about the text on the hot-air balloon. The pupils also had to complete a questionnaire about their reading habits, how they go about understanding the texts better, and how they work with texts in Swedish lessons. The pupils were interviewed to yield a little more information about how they comprehended the two different types of text. The study was conducted at two high schools geared to pupils with ASD, including a total of twelve pupils. Four of these pupils were also interviewed. The result of the reading comprehension tests show that the majority of the pupils found it easier to answer the questions about the factual text. The pupils who read a lot and are interested in reading achieved better results in both text assignments. There was a clear association between the pupils who have difficulties concentrating and finishing a book and their results on the reading comprehension tasks, which can be explained by their functional impairment. The result of the pupils’ questionnaire showed that they use different strategies when reading factual texts and literary texts. There were also differences in how the pupils work with different texts in school, both individually and together with other pupils, depending on which type of text it is. The help that pupils receive from their teachers can be limited by the pupils’ functional impairment, but according to the study this help is significant for the pupils’ reading comprehension, especially of literary texts.
APA, Harvard, Vancouver, ISO, and other styles
31

Al, Cicek. "En psykometrisk utvärdering av det arbetspsykologiska testet Predicting Job Performance." Thesis, Stockholm University, Department of Psychology, 2006. http://urn.kb.se/resolve?urn=urn:nbn:se:su:diva-1120.

Full text
Abstract:

Ett psykologiskt test används främst inom utbildningssammanhang och kliniska sammanhang, men även inom rekrytering, urval och organisationsutveckling. Det finns idag ett flertal personlighets- och begåvningstest som mäter olika aspekter som kan vara relevanta för en organisation. Predicting Job Performance, PJP, som har sin utgångspunkt i femfaktormodellen, är utvecklat av Psykologiförlaget AB och består av två delar som mäter olika dimensioner av personlighet och begåvning. I denna studie har psykometriska beräkningar utförts för att utvärdera instrumentets reliabilitet samt validitet, främst genom test-retest-metoden. Undersökningsdeltagare var 49 psykologistudenter vid Stockholms Universitet. Majoriteten av skalorna var starkt positivt korrelerade med varandra och uppvisade en tillfredsställande reliabilitet. Resultatet av test-retest-undersökningen visade att de båda delarna av PJP hade tillförlitlig reliabilitet gällande precision och stabilitet över tid. Korrelation mellan personlighetsdelen och kapacitetsdelen undersöktes och visade på god divergent validitet mellan de två deltesterna. Även inlärningsförmågan på den tillhörande kapacitetsdelen undersöktes och visade signifikant inlärning mellan testtillfällena. PJP uppvisar sammantaget på goda psykometriska egenskaper.

APA, Harvard, Vancouver, ISO, and other styles
32

Abad, Lily Paola Martínez. "Avaliação da acurácia da proteína rKLO8 no diagnóstico da leishmaniose visceral canina." Universidade Federal de Juiz de Fora (UFJF), 2016. https://repositorio.ufjf.br/jspui/handle/ufjf/3128.

Full text
Abstract:
Submitted by Renata Lopes (renatasil82@gmail.com) on 2017-01-10T11:15:29Z No. of bitstreams: 1 lilypaolamartinezabad.pdf: 2623487 bytes, checksum: bd4d6d3010f0286720ab5bcfb393b5ea (MD5)
Approved for entry into archive by Diamantino Mayra (mayra.diamantino@ufjf.edu.br) on 2017-01-31T11:20:52Z (GMT) No. of bitstreams: 1 lilypaolamartinezabad.pdf: 2623487 bytes, checksum: bd4d6d3010f0286720ab5bcfb393b5ea (MD5)
Made available in DSpace on 2017-01-31T11:20:52Z (GMT). No. of bitstreams: 1 lilypaolamartinezabad.pdf: 2623487 bytes, checksum: bd4d6d3010f0286720ab5bcfb393b5ea (MD5) Previous issue date: 2016-09-30
A leishmaniose visceral canina (LVC) representa um grave problema de saúde pública. No Brasil, a prevalência da infecção nos cães é bastante variável, podendo atingir níveis superiores a 60% em alguns surtos. O teste rápido Dual Path Platform (TRDPP®-Bio-Manguinhos), como teste de triagem, seguido por ELISA (EIE-BioManguinhos), como teste confirmatório, tornaram-se parte do protocolo de diagnóstico da LVC, credenciado no Brasil desde 2011. No entanto, o diagnóstico da LVC ainda precisa ser melhorado para alcançar uma taxa de detecção mais precisa. Recentemente, rKLO8, uma nova proteína antigênica de L. donovani do Sudão foi clonada e purificada, e mostrou alta reatividade para diagnosticar leishmaniose visceral em humanos. O presente estudo teve como objetivo avaliar a reatividade de soros de cães frente ao antígeno rKL08 e o antígeno de referência rK26, comparando ambas as proteínas, utilizadas como antígenos em testes de ELISA, com os testes DPP® e EIE, usados como testes de diagnóstico da LVC. Amostras de soros de cães de Governador Valadares, uma área endêmica para leishmaniose em Minas Gerais, Brasil, foram agrupadas da seguinte forma: (I) DPP®/EIE negativo (n = 100), (II) DPP® positivo / EIE negativo e (III) DPP® / EIE positivo (n = 100). Níveis séricos elevados de IgM e IgG para ambos os antígenos, rKLO8 e rK26, foram encontrados no grupo III (p <0,0001). Interessantemente, foram detectados níveis elevados de IgG2 e baixos níveis de IgG1 contra ambos os antígenos no grupo de cães DPP®/EIE positivo, sugerindo a ocorrência de um fenótipo predominantemente do tipo Th1 associado com infecção subclínica. O ELISA-rKLO8 (IgG) e o ELISA-rK26 (IgG) mostraram uma sensibilidade de 68% e 77%, e especificidade de 92% e 91%, respectivamente, determinado através da análise da curva ROC. Além disso, o coeficiente Kappa indicou boa concordância (0,739) entre o ELISA-rKLO8 versus o ELISA-rK26. Ainda, a combinação de antígenos rKLO8 e rK26 (rKLO8+rK26) em um mesmo teste exibiu maior sensibilidade (85%) e especificidade (93%). A análise kappa mostrou que o ELISA-rKLO8 + rK26 (IgG) teve melhor concordância com ambos os testes, DPP® e EIE, com valores de kappa igual a 0,700. Estes dados indicaram que a combinação dos antígenos rKLO8 e rK26 gera uma melhor acurácia no diagnóstico da LVC que os antígenos rKLO8 e rK26 usados em separado na detecção de IgG. Estes resultados demonstraram, pela primeira vez, a utilidade do antígeno rKLO8 no diagnóstico da LVC, e que ELISA-rKLO8, pode representar uma potencial ferramenta adicional para o diagnóstico de LVC.
Canine Visceral Leishmaniasis (CVL) represents a serious public health issue. In Brazil, the prevalence of infection in dogs is quite variable and may reach levels above 60% in some outbreaks. The dual Path Platform (DPP®-Bio-Manguinhos) as quick screening test followed by ELISA (EIE-Bio-Manguinhos) as a confirmatory test became part of the diagnostic protocol of CVL, nationally accreditated in Brazil since 2011. However, CVL diagnosis still needs to be improved to achieve a more accurate detection rate. Recently, rKLO8, a new antigenic protein of Sudanese L. donovani, was cloned and purified and had high reactivity to diagnose human VL. The present study aimed to evaluate serum reactivity to rKL08 and to the reference antigen rK26, and to compare both diagnostic proteins used in ELISA with the combined DPP® and EIE as diagnostic tests of CVL. Dog sera samples from Governador Valadares, an area endemic for leishmaniasis in Minas Gerais, Brazil, were grouped in the following way: (I) DPP®/EIE negative (n = 100), (II) DPP® positive/EIE negative and (III) DPP®/EIE positive dog sera (n = 100). Enhanced serum levels of IgM and IgG to both rKLO8 and rK26 were found in group III (p<0.0001). Interestingly, high IgG2 and low IgG1 levels against both antigens were detected in DPP®/EIE positive dogs, suggesting the occurrence of a predominant Th1 phenotype associated with subclinical infection. The rKLO8-ELISA (IgG) and the rK26-ELISA (IgG) showed a sensitivity of 68% and 77% and specificity of 92% and 91%, respectively, determined by ROC curve analysis. In addition, Kappa coefficient indicated good agreement (0.739) between rKLO8-ELISA and rK26-ELISA. Moreover, the combination of rKLO8 and rK26 antigens (rKLO8+rK26) exhibited higher sensitivity (85%) and specificity (93%). Kappa analysis established that rKLO8+rK26-ELISA (IgG) had better agreement with both DPP® and EIE, with kappa values of 0.700. These data indicate that the combination of rKLO8 and rK26 antigens has better accuracy in the diagnosis of CVL than rKLO8 and rK26 used separately at detecting IgG. These results showed for the first time the usefulness of rKLO8 antigen in the diagnosis of CVL, and that rKLO8-ELISA may represent a potential additional tool for the diagnosis of CVL.
APA, Harvard, Vancouver, ISO, and other styles
33

Aquino, guazzelli Ricardo. "test and side-channel analysis of asynchronous circuits." Thesis, Université Grenoble Alpes, 2020. http://www.theses.fr/2020GRALT070.

Full text
Abstract:
Les circuits asynchrones ont été explorés au cours des dernières décennies comme une alternative pour surmonter les problèmes et les limites apportés par la conception synchrone, en particulier lorsque les nœuds technologiques récents atteignent des limites physiques et que les variations de processus, de tension et de température (PVT) ont un impact significatif sur le comportement des circuits.Cela fait progresser l'utilisation de circuits asynchrones dans différentes applications nécessitant une grande vitesse, une faible consommation d'énergie, de la robustesse ou de la sécurité.En raison de leur style de conception non conventionnel, il n'est pas si banal de les concevoir.En effet, la conception asynchrone est peu enseignée dans les écoles d'ingénieurs et son flux de conception n'est pas entièrement compatible avec les outils commerciaux, qui ont été développés à l'origine pour des mises en place synchrones.Par conséquent, plusieurs méthodologies et techniques ont été introduites pour faciliter son adoption par l'industrie.Cependant, le flux de conception synchrone bien établi entrave les paradigmes de conception alternatifs et crée même une résistance à la poursuite du développement d'un flux de conception entièrement automatisé et optimisé pour les circuits asynchrones.Cela a également pour effet secondaire d'entraver les efforts visant à développer des techniques de test et de diagnostic pour ce type de circuits.Dans ce contexte, cette thèse porte sur les techniques dédiées au test et à l'analyse des circuits asynchrones.Une première partie présente une architecture de conception pour la testabilité (DFT) permettant des tests à la vitesse sur des circuits asynchrones bundled-data (BD), tout en maintenant un faible encombrement et une compatibilité avec les outils de DFT et de génération automatique de séquences de test (ATPG).L'architecture proposée a été mise en œuvre avec succès dans deux circuits de cas d'étude afin de montrer les détails techniques par des étapes de synthèse et d'ATPG, ainsi que de donner un aperçu des résultats tels que la couverture des défauts et l'utilisation de surface.La deuxième partie explore l'analyse des canaux caché sur les circuits asynchrones, en tirant parti de leur signature actuelle et de leur comportement intrinsèque.Cela a été appliqué à la détection des chevaux de Troie matériels (HT).Grâce à des expériences de simulation, il est démontré la capacité des circuits asynchrones à fournir des signatures de courant locales pour identifier la présence de minuscules HT.Les résultats montrent qu'une douzaine de transistors HT est détectable dans la conception de 13 000 transistors. De plus, une telle analyse ne nécessite pas de circuits ou de ports d'alimentation supplémentaires
Asynchronous circuits have been explored in the last decades as an alternative to overcome the issues and limitations brought by synchronous design, especially as recent technology nodes reach physical limits and process, voltage and temperature (PVT) variations significantly impact circuit behavior.This pushes forward the use of asynchronous circuits on different applications requiring high-speed, low-power consumption, robustness or security.Due to their non-conventional design style, it is not so trivial to design them.Indeed, asynchronous design is marginally taught in engineering schools and its design flow is not fully compatible with commercial tools, which were originally developed for synchronous implementations.Therefore, several methodologies and techniques have been introduced to help its adoption by industry.However, the well-established synchronous design flow impedes alternative design paradigms and even creates resistance to further develop a fully automated and optimized design flow for asynchronous circuits.As a side effect, this also impacts the effort to develop testing and diagnosis techniques for this kind of circuits.In this context, this thesis targets dedicated techniques for testing and analyzing asynchronous circuits.A first part presents a Design-for-Testability (DFT) architecture enabling at-speed testing on asynchronous Bundled-data (BD) circuits, while maintaining low area overhead and compatibility with DfT and Automatic Test Pattern Generation (ATPG) tools.The proposed architecture has been successfully implemented in two study-case circuits in order to show the technical details through synthesis and ATPG steps, as well as overview the results such as fault coverage and area overhead.The second part explores side-channel analysis on asynchronous circuits, taking advantage of their current signature and intrinsic behavior.This has been applied to Hardware Trojan (HT) detection.Through simulation experiments, it is shown the ability of asynchronous circuits in providing local current signatures for identifying the presence of tiny HTs.The results demonstrate that a dozen-transistor HT is detectable in 13.000-transistor design. Moreover, such analysis does not require extra circuitry or extra power ports
APA, Harvard, Vancouver, ISO, and other styles
34

Čípek, Radek. "VYTVOŘENÍ PROGRAMU PRO ZÁTĚŽOVÉ ZKOUŠKY EL.MOTORŮ-sběr dat ze systémů teplotních senzorů (RS232,USB,PCI DAQ) a výkonového analyzátoru (GPIB) v LabView." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2011. http://www.nusl.cz/ntk/nusl-229517.

Full text
Abstract:
This thesis deals with the creation of a program for collecting data from three optional systems of temperature sensors (RS232, USB and PCI DAQ), torque shaft and a power analyzer (GPIB) in LabVIEW. The implementation of the results to Excel by the automatic periodical writing of the gained data (LabVIEW › Excel).
APA, Harvard, Vancouver, ISO, and other styles
35

Miloš, Roman. "Metody shlukování textových dat." Master's thesis, Vysoké učení technické v Brně. Fakulta informačních technologií, 2011. http://www.nusl.cz/ntk/nusl-237060.

Full text
Abstract:
Clustering of text data is one of tasks of text mining. It divides documents into the different categories that are based on their similarities. These categories help to easily search in the documents. This thesis describes the current methods that are used for the text document clustering. From these methods we chose Simultaneous keyword identification and clustering of text documents (SKWIC). It should achieve better results than the standard clustering algorithms such as k-means. There is designed and implemented an application for this algorithm. In the end, we compare SKWIC with a k-means algorithm.
APA, Harvard, Vancouver, ISO, and other styles
36

Setnický, Viktor. "Vyhodnocení dat pořízených bezpilotním prostředkem." Master's thesis, Vysoké učení technické v Brně. Fakulta stavební, 2016. http://www.nusl.cz/ntk/nusl-390173.

Full text
Abstract:
This thesis deals with the application of unmanned aerial vehicles (UAV) in photogrammetric purposes useful in geodesy. The UAV that was used for imaging is described in the first part, also there are introduced two programs which were used for data processing. A system of mission planning and data collecting is shown on the ortofoto creating as an example. The second part of this thesis deals with testing of the accuracy through terrestrially independently measured points. The main aim of the work is to demonstrate the high potential of UAV devices for geodesy purposes.
APA, Harvard, Vancouver, ISO, and other styles
37

Huynh, Carrie, and Martin Segelfeldt. "Hur vet vi att det fungerar?" Thesis, Högskolan i Borås, Institutionen Handels- och IT-högskolan, 2008. http://urn.kb.se/resolve?urn=urn:nbn:se:hb:diva-19092.

Full text
Abstract:
Dagens samhälle blir mer och mer IT-centraliserat för varje dag som går samtidigt som IT-systemblir större och komplexare. För att ett IT-system skall kunna överleva måste det finnas användaresom vill använda det. För att användare skall använda ett IT-system måste systemet har tillräcklighög kvalitet så att användare skall kunna lita på systemet. Men vad är kvalitet? Det är svårt attdefiniera begreppet och intill omöjlig att mäta. Du kan vaken se eller röra den, däremot är det lättatt känna igen den när den saknas. Om ett IT-system saknar kvalitet kommer det antagligen att döut så småning då det slutar att användas eller ersätts av andra IT-system med högre kvalitet.Det är här testning kommer in i rutan. Den är nämligen ett väldigt bra tillvägagångssätt för attsäkerställa kvalitet. Men hur skall testningen gå till?I vår studie går vi därför in i djupet kring teorier om hur hantering av testning undersystemutveckling skall gå till. Vi använder denna teoretiska grund för att genomföra tvåkvalitativa intervjuer och se om verkligheten stämmer överens med vad teorin påstår. I vårslutsats avgör vi om våra respondenter ligger i fas med de teoretiska riktlinjerna ochrekommendationerna. Detta i hopp om att kunna skapa en god bild över företagens syn påtestning inom systemutvecklingsbranschen.Vi försöker även svara på frågan ”hur ser en lyckad testprocess ut?”. Vi kom fram till att det finnsflera olika sätt att lägga upp testprocessen och det kan se väldigt olika ut från företag till företag.Men oavsett hur den ser ut så bör den vara planerad, strukturerad och påbörjad tidigt undersystemutvecklingen.
Uppsatsnivå: C
APA, Harvard, Vancouver, ISO, and other styles
38

Albinson, Karin. "Säg det tydligt! : Stil i text på webben." Thesis, Karlstad University, Division for Culture and Communication, 2006. http://urn.kb.se/resolve?urn=urn:nbn:se:kau:diva-98.

Full text
Abstract:

Abstract

Write the most important things first in text that will be read by a scanning web user. This paper is based upon theories about

– how we read

– what style in texts is

– how texts should be written for web pages

Reading from computer screens is about 25 percent slower than reading from paper. This because screens

– flicker

– have lower resolution than paper

All text with the purpose of being read from a screen should be adjusted to the reader’s physical condition. The theories above were studied and interviews were done to find out how text for web should be written. Three persons were interviewed. They answered questions about how they produce text to their web sites. And the conclusion was

– write short and distinct

– put the most important first

– explain to the visitor to guide them correct

– use comprehensive words

– short sentences are better than longer

– skip all unnecessarily

– use objective language

– do not think that the reader reads the whole text

– split longer texts into multiple pages with hypertext links

The interviewed peoples are

– Swedish Rescue Services Agency’s web master

– Big brother’s web editor in chief

– Information design’s leader of projects

This abstract can be read from a screen. If the whole paper should be read it should be printed first. The paper is not supposed to be read from a screen.


Sammanfattning

Skriv det viktigaste först för den skannande läsaren på webben. Uppsatsen grundas i teorier om

– hur människan läser

– vad stil i text är

– hur texter för webbsidor bör skrivas

Från datorskärmar läser man cirka 25 procent långsammare än från papper. Detta på grund av att skärmar

– flimrar

– har lägre upplösning än papper

Texter som är avsedda att läsas på skärm bör därför anpassas till läsarens fysiska förutsättningar. För att ta reda hur det görs studerades ovanstående teorier för att ge en grund till intervjuer med tre personer i webbranschen. De fick bland annat svara på frågor om hur de arbetar med texter. Och kontentan blev

– skriv kort och tydligt

– det viktigaste skrivs först

– förklara för besökaren för att guida dem rätt

– Kärnfulla ord ska användas

– Korta meningar är bättre än långa

– Skippa allt onödigt

– Objektiva texter ska användas

– Tro inte att läsaren läser hela din text

– Dela upp längre texter på flera sammanlänkade sidor

De intervjuade är

– webbredaktören på Räddningsverket

– chefredaktören för Big Brothers webbplats

– projektledaren på Information Design

Denna sammanfattning kan läsas från skärm. Uppsatasen i sin helhet bör skrivas ut om hela ska läsas. Då den inte är avsedd att läsas från skärm.

APA, Harvard, Vancouver, ISO, and other styles
39

Kakade, Jayawant Shridhar. "METHODS TO MINIMIZE LINEAR DEPENDENCIES IN TWO-DIMENSIONAL SCAN DESIGNS." OpenSIUC, 2008. https://opensiuc.lib.siu.edu/dissertations/256.

Full text
Abstract:
Two-dimensional scan design is an effective BIST architecture that uses multiple scan chains in parallel to test the Circuit Under Test (CUT). Linear Finite State Machines (LFSMs) are often used as on-board Pseudo Random Pattern Generators (PRPGs) in two-dimensional scan designs. However, linear dependencies present in the LFSM generated test-bit sequences adversely affect the resultant fault coverage in two-dimensional scan designs. In this work, we present methods that improve the resultant fault coverage in two-dimensional scan designs through the minimization of linear dependencies. Currently, metric of channel separation and matrix-based metric are used in order to estimate linear dependencies in a CUT. When the underlying sub-circuit (cone) structure of a CUT is available, the matrix-based metric can be used more effectively. Fisrt, we present two methods that use matrix-based metric and minimize the overall linear dependencies in a CUT through explicitly minimizing linear dependencies in the highest number of underlying cones of the CUT. The first method minimizes linear dependencies in a CUT through the selection of an appropriate LFSM structure. On the other hand, the second method synthesizes a phase shifter for a specified LFSM structure such that the overall linear dependencies in a CUT are minimized. However, the underlying structure of a CUT is not always available and in such cases the metric of channel separation can be used more effectively. The metric of channel separation is an empirical measure of linear dependencies and an ad-hoc large channel separation is imposed between the successive scan chains of a two-dimensional scan design in order to minimize the linear dependencies. Present techniques use LFSMs with additional phase shifters (LFSM/PS) as PRPGs in order to obtain desired levels of channel separation. We demonstrate that Generalized LFSRs (GLFSRs) are a better choice as PRPGs compared to LFSM/PS and obtain desired levels of channel separations at a lower hardware cost than the LFSM/PS. Experimental results corroborate the effectiveness of the proposed methods through increased levels of the resultant fault coverage in two-dimensional scan designs.
APA, Harvard, Vancouver, ISO, and other styles
40

Raviraj, Tejas. "Design, Implementation, and Test of Next Generation FPGAs Using Quantum-Dot Cellular Automata Technology." University of Toledo / OhioLINK, 2011. http://rave.ohiolink.edu/etdc/view?acc_num=toledo1302291185.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Lewis, Dean Leon. "Design for pre-bond testability in 3D integrated circuits." Diss., Georgia Institute of Technology, 2012. http://hdl.handle.net/1853/45756.

Full text
Abstract:
In this dissertation we propose several DFT techniques specific to 3D stacked IC systems. The goal has explicitly been to create techniques that integrate easily with existing IC test systems. Specifically, this means utilizing scan- and wrapper-based techniques, two foundations of the digital IC test industry. First, we describe a general test architecture for 3D ICs. In this architecture, each tier of a 3D design is wrapped in test control logic that both manages tier test pre-bond and integrates the tier into the large test architecture post-bond. We describe a new kind of boundary scan to provide the necessary test control and observation of the partial circuits, and we propose a new design methodology for test hardcore that ensures both pre-bond functionality and post-bond optimality. We present the application of these techniques to the 3D-MAPS test vehicle, which has proven their effectiveness. Second, we extend these DFT techniques to circuit-partitioned designs. We find that boundary scan design is generally sufficient, but that some 3D designs require special DFT treatment. Most importantly, we demonstrate that the functional partitioning inherent in 3D design can potentially decrease the total test cost of verifying a circuit. Third, we present a new CAD algorithm for designing 3D test wrappers. This algorithm co-designs the pre-bond and post-bond wrappers to simultaneously minimize test time and routing cost. On average, our algorithm utilizes over 90% of the wires in both the pre-bond and post-bond wrappers. Finally, we look at the 3D vias themselves to develop a low-cost, high-volume pre-bond test methodology appropriate for production-level test. We describe the shorting probes methodology, wherein large test probes are used to contact multiple small 3D vias. This technique is an all-digital test method that integrates seamlessly into existing test flows. Our experimental results demonstrate two key facts: neither the large capacitance of the probe tips nor the process variation in the 3D vias and the probe tips significantly hinders the testability of the circuits. Taken together, this body of work defines a complete test methodology for testing 3D ICs pre-bond, eliminating one of the key hurdles to the commercialization of 3D technology.
APA, Harvard, Vancouver, ISO, and other styles
42

El, belghiti alaoui Nabil. "Stratégie de testabilité en production des cartes électroniques à forte densité d’intégration et à signaux rapides." Thesis, Toulouse, INSA, 2020. http://www.theses.fr/2020ISAT0018.

Full text
Abstract:
Les étapes de test en production sont basées à ce jour sur des contrôles optiques (AOI), inspection des joints de soudures par Rayons-X (AXI), électriques (ICT) et tests fonctionnels. Face à la multiplication et à la miniaturisation des composants, la cohabitation de plusieurs technologies (numérique, analogique, radiofréquence, puissance…) sur le même PCB (Printed Circuit Board), les moyens de test listés précédemment ne sont plus suffisants pour répondre complètement aux exigences de couverture de tests en production, car peu performants et coûteux en temps de développement et de cycle de test.L'objectif de cette thèse CIFRE avec ACTIA Automotive en collaboration avec le laboratoire LAAS-CNRS est de définir une stratégie de test en production innovante et adaptée aux produits à forte densité en envisageant dans un premier temps toutes les techniques existantes ou à développer. Pour ce faire, nous avons abordé dans cette thèse, des améliorations à apporter aux méthodologies de test existantes et proposé également des approches de test utilisables en amont de la production des PCBAs (Printed Circuit Board Assemblies) à haute densité et à signaux rapides.Premièrement, nous avons introduit une nouvelle technique sans contact pour tester des PCBAs lorsque l’accès physique de test est très limité. La technique consiste à utiliser des sondes de champ magnétique proche, qui détectent la distribution de champ magnétique émanant de certains composants montés sur le PCB dans le but de tester leur présence sur la carte et leur valeur par la suite. Deuxièmement, une approche de test utilisant des signatures thermiques infrarouges est présentée. Cette technique peut détecter les défauts d’assemblage du composant tel que sa présence, sa valeur et dans certain cas son état de santé, ce qui permet de conclure sur l’état de défaut du PCBA. Afin d’évaluer la pertinence de ces deux techniques, plusieurs scénarios de défaut ont été considérés et analysés avec un algorithme de détection de valeurs aberrantes. Sur plusieurs cas, les défauts de fabrication sont discriminés avec des marges importantes, tout en tenant compte de la variabilité de spécification des composants.Finalement, une technique pour regagner de l’accessibilité de test sur des pistes de transmission de signal de haute fréquence est présentée. La technique consiste à utiliser de petites ouvertures dans le masque de soudure directement au-dessus des pistes portant des signaux digitaux. Les conducteurs exposés sont mis en contact avec une sonde à bout déformable, conducteur et anisotrope. La faisabilité industrielle de cette technique a été testée sur un prototype que nous avions développé en collaboration avec la filiale d’ACTIA Group : ACTIA Engineering Services
Until today, the production tests are based mainly on optical verification (AOI), X-ray inspection (AXI), electrical (ICT) and functional tests. Faced with the miniaturization of component packages, the high densification and integration of several technologies (digital, analog, radio frequency, power ...) on the same PCB (Printed Circuit Board), the test techniques listed above are no longer sufficient to fully meet the production test coverage requirements, because they are costly in terms of development time and test cycle and are not very efficient.The objective of this CIFRE thesis with ACTIA Automotive in collaboration with LAAS-CNRS is to define an innovative production test strategy adapted to high-density products. To do this, we have addressed in this work, improvements to existing test methodologies and proposed test approaches usable upstream of the production process of PCBAs (Printed Circuit Board Assemblies).First, we introduced a new contactless technique for testing PCBAs with limited physical test access. The technique involves the use of near-field magnetic sensors, which detect the magnetic signatures from components mounted on the PCB in order to test their presence and their value thereafter. Secondly, a test approach using infrared thermal signatures is presented. This technique can detect component assembly defects such as, presence, value and in some cases its state of health, which allows concluding on the PCBA state of defect. In order to evaluate the relevance of these two techniques, several fault scenarios were considered and analyzed with an outlier detection algorithm. In several cases, the manufacturing defects are discriminated with significant margins, while taking into account the variability in component specifications.Finally, a technique for regaining test accessibility on high frequency signal transmission tracks is presented. The technique uses small openings in the solder mask directly above the tracks carrying digital signals. The exposed conductors are contacted with a probe with deformable and anisotropic conductive tip. The industrial feasibility of this technique was tested on a prototype that we developed in collaboration with the ACTIA Group subsidiary: ACTIA Engineering Services
APA, Harvard, Vancouver, ISO, and other styles
43

Kuchi, Jayasurya. "AN EFFICIENT APPROACH TO REDUCE TEST APPLICATION TIME THROUGH LIMITED SHIFT OPERATIONS IN SCAN CHAINS." OpenSIUC, 2017. https://opensiuc.lib.siu.edu/theses/2182.

Full text
Abstract:
Scan Chains in DFT has gained more prominence in recent years due to the increase in the complexity of the sequential circuits. As the test time increases along with the number of memory elements in the circuit, new and improved methods came in to prominence. Even though scan chain increases observability and controllability, a big portion of the time is wasted while shifting in and shifting out the test patterns through the scan chain. This thesis focus on reducing the number of clock cycles that are needed to test the circuit. The proposed Algorithm uses modified shift procedures based on 1) Finding hard to detect faults in the circuit. 2) Productive way to generate test patterns for the combinational blocks in between the flip flops. 3) Rearranging test patterns and changing the shift procedures to achieve fault coverage in reduced number of clock cycles. In this model, the selection process is based on calculating the fault value of a fault and total fault value of the vector which is used to find the hard faults and the order in which the vectors are applied. This method reduces the required number of shifts for detecting the faults and thereby reducing the testing time. This thesis concentrates on appropriate utilization of scan chains for testing the sequential circuits. In this context, the proposed method shows promising results in reduction of the number of shifts, thereby reducing the test time. The experimental results are based on the widely cited ISCAS 89 benchmark circuits.
APA, Harvard, Vancouver, ISO, and other styles
44

Johansson, Marina, and Josefine Winnerhed. "”Det är det lättaste sättet” : Lösningsstrategier inom matematik i Åk 3." Thesis, Linnaeus University, School of Education, Psychology and Sport Science, 2010. http://urn.kb.se/resolve?urn=urn:nbn:se:lnu:diva-185.

Full text
Abstract:

Denna undersökning handlar om elevers val av strategier när de löser matematiska textuppgifter i årskurs tre. I undersökningen har sammanlagt tolv elever medverkat från två landsbygdsskolor i Kalmar län. Studien genomfördes med hjälp av enkäter, intervjuer samt observationer. Enkätsvaren, intervjuerna samt observations-anteckningarna har analyserats för att finna de olika strategier som används av eleverna i årskurs tre. Bilder och huvudräkning var de två strategier som förekom i högre grad. Eleverna använde sig även av uppställningar. Det har även visat sig att elevernas erfarenheter av textuppgifter har varit begränsade.


This study is about pupils’ choice of strategies when they solve text tasks in mathematics in the third grade. In the study two classes participated with the total of twelve students from two rural schools in Kalmar County. The study was conducted using questionnaires, interviews and observations, which have been analyzed to identify the different strategies used by the students. It turned out that two strategies were more used than others, these were pictures and mental arithmetic, but used less of calculation. It has also shown that pupils’ experiences of text tasks have been limited.

APA, Harvard, Vancouver, ISO, and other styles
45

Cunha, De Almeida Eduardo. "Test et Validation des Systémes Pair-à-pair." Phd thesis, Université de Nantes, 2009. http://tel.archives-ouvertes.fr/tel-00451521.

Full text
Abstract:
Le pair-à-pair (P2P) offre de bonnes solutions pour de nombreuses applications distribuées, comme le partage de grandes quantités de données et/ou le support de collaboration dans les réseaux sociaux. Il apparaît donc comme un puissant paradigme pour développer des applications distribuées évolutives, comme le montre le nombre croissant de nouveaux projets basés sur cette technologie. Construire des applications P2P fiables est difficile, car elles doivent être déployées sur un grand nombre de noeuds, qui peuvent être autonomes, refuser de répondre à certaines demandes, et même quitter le système de manière inattendue. Cette volatilité des noeuds est un comportement commun dans les systèmes P2P et peut être interprétée comme une faute lors des tests. Dans cette thèse, nous proposons un cadre et une méthodologie pour tester et valider des applications P2P. Ce cadre s'appuie sur le contrôle individuel des noeuds, permettant de contrôler précisément la volatilité des noeuds au cours de leur exécution. Nous proposons également trois différentes approches de contrôle d'exécution de scénarios de test dans les systèmes distribués. La première approche étend le coordonnateur centralisé classique pour gérer la volatilité des pairs. Les deux autres approches permettent d'éviter le coordinateur central afin de faire passer à l'échelle l'exécution des cas de tests. Nous avons validé le cadre et la méthodologie à travers la mise en oeuvre et l'expérimentation sur des applications P2P open-source bien connues (FreePastry et OpenChord). Les expérimentations ont permis de tester le comportement des systèmes sur différentes conditions de volatilité, et de détecter des problèmes d'implémentation complexes.
APA, Harvard, Vancouver, ISO, and other styles
46

Lee, Adam Michael. "Impact of genetic and epigenetic variability in response to two test drugs 5-Flurouracil and Lansoprazole." Thesis, Birmingham, Ala. : University of Alabama at Birmingham, 2009. https://www.mhsl.uab.edu/dt/2009p/lee.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Leixner, Petr. "Shlukování textových dat." Master's thesis, Vysoké učení technické v Brně. Fakulta informačních technologií, 2010. http://www.nusl.cz/ntk/nusl-237188.

Full text
Abstract:
Process of text data clustering can be used to analysis, navigation and structure large sets of texts or hypertext documents. The basic idea is to group the documents into a set of clusters on the basis of their similarity. The well-known methods of text clustering, however, do not really solve the specific problems of text clustering like high dimensionality of the input data, very large size of the databases and understandability of the cluster description. This work deals with mentioned problems and describes the modern method of text data clustering based on the use of frequent term sets, which tries to solve deficiencies of other clustering methods.
APA, Harvard, Vancouver, ISO, and other styles
48

Perkins, Andrew John. "Structural testing and DFT insertion for analogue and mixed signal integrated circuits." Thesis, University of Southampton, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.299287.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Thapliyal, Himanshu. "Design, Synthesis and Test of Reversible Circuits for Emerging Nanotechnologies." Scholar Commons, 2011. http://scholarcommons.usf.edu/etd/3379.

Full text
Abstract:
Reversible circuits are similar to conventional logic circuits except that they are built from reversible gates. In reversible gates, there is a unique, one-to-one mapping between the inputs and outputs, not the case with conventional logic. Also, reversible gates require constant ancilla inputs for reconfiguration of gate functions and garbage outputs that help in keeping reversibility. Reversible circuits hold promise in futuristic computing technologies like quantum computing, quantum dot cellular automata, DNA computing, optical computing, etc. Thus, it is important to minimize parameters such as ancilla and garbage bits, quantum cost and delay in the design of reversible circuits. The first contribution of this dissertation is the design of a new reversible gate namely the TR gate (Thapliyal-Ranganathan) which has the unique structure that makes it ideal for the realization of arithmetic circuits such as adders, subtractors and comparators, efficient in terms of the parameters such as ancilla and garbage bits, quantum cost and delay. The second contribution is the development of design methodologies and a synthesis framework to synthesize reversible data path functional units, such as binary and BCD adders, subtractors, adder-subtractors and binary comparators. The objective behind the proposed design methodologies is to synthesize arithmetic and logic functional units optimizing key metrics such as ancilla inputs, garbage outputs, quantum cost and delay. A library of reversible gates such as the Fredkin gate, the Toffoli gate, the TR gate, etc. was developed by coding in Verilog for use during synthesis. The third contribution of this dissertation is the set of methodologies for the design of reversible sequential circuits such as reversible latches, flip-flops and shift registers. The reversible designs of asynchronous set/reset D latch and the D flip-flop are attempted for the first time. It is shown that the designs are optimal in terms of number of garbage outputs while exploring the best possible values for quantum cost and delay. The other important contributions of this dissertation are the applications of reversible logic as well as a special class of reversible logic called conservative reversible logic towards concurrent (online) and offline testing of single as well as multiple faults in traditional and reversible nanoscale VLSI circuits, based on emerging nanotechnologies such as QCA, quantum computing, etc. Nanoelectronic devices tend to have high permanent and transient faults and thus are susceptible to high error rates. Specific contributions include (i) concurrently testable sequential circuits for molecular QCA based on reversible logic, (ii) concurrently testable QCA-based FPGA, (iii) design of self checking conservative logic gates for QCA, (iv) concurrent multiple error detection in emerging nanotechnologies using reversible logic, (v) two-vectors, all 0s and all 1s, testable reversible sequential circuits.
APA, Harvard, Vancouver, ISO, and other styles
50

Staněk, Lukáš. "Návrh metody pro testování elektricky asistovaných turbodmychadel." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2013. http://www.nusl.cz/ntk/nusl-230750.

Full text
Abstract:
The Diploma thesis deals with the testing of electrically assisted turbochargers. Aim of this work is to apply the established way of the performance testing of conventional turbochargers for electrically assisted turbocharger. Part of this work is to create a program for the calculation of the observed characteristics of the turbocharger. The program is extended to the characteristics of the generator for electrically assisted turbocharger. The program will serve as a basic for control the data for tested motorsport applications.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography