Books on the topic 'Digital electronics – Testing'

To see the other types of publications on this topic, follow the link: Digital electronics – Testing.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 books for your research on the topic 'Digital electronics – Testing.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse books on a wide variety of disciplines and organise your bibliography correctly.

1

Miczo, Alexander. Digital logic testing and simulation. New York: John Wiley & Sons, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Digital logic testing and simulation. New York: Wiley, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Miczo, Alexander. Digital logic testing and simulation. 2nd ed. Hoboken, NJ: Wiley-Interscience, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Testing digital circuits: An introduction. Wokingham: Van Nostrand Reinhold (UK), 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Digital logic testing and simulation. 2nd ed. Hoboken, NJ: Wiley-Interscience, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Digital logic testing and simulation. New York: Harper & Row, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Cortner, J. Max. Digital test engineering. New York: Wiley, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Yang, Zhao. Design and Testing of Digital Microfluidic Biochips. New York, NY: Springer New York, 2013.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Litikov, I. P. Kolʹt͡s︡evoe testirovanie t͡s︡ifrovykh ustroĭstv. Moskva: Ėnergoatomizdat, 1990.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Using MultiSIM: Digital electronics. Albany, N.Y: Delmar, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
11

Wang, Francis C. Digital circuit testing: A guide to DFT and other techniques. San Diego: Academic Press, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
12

1943-, Agrawal Vishwani D., and Bushnell Michael L. 1950-, eds. Neural models and algorithms for digital testing. Boston: Kluwer Academic Publishers, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
13

Molitor, Paul. Equivalence checking of digital circuits: Fundamentals, principles, methods. Boston: Kluwer Academic Publishers, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
14

Weyerer, Manfred. Testability of electronic circuits. Munich: C. Hanser, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
15

Weyerer, Manfred. Testability of electronic circuits. Munich: Carl Hanser Verlag, 1992.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
16

Marhöfer, Michael. Fehlerdiagnose für Schaltnetze aus Modulen mit partiell injektiven Pfadfunktionen. Berlin: Springer-Verlag, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
17

1943-, Agrawal Vishwani D., ed. Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits. Boston: Kluwer Academic, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
18

Sousa, José T. de. Boundary-scan interconnect diagnosis. Boston: Kluwer Academic Publishers, 2001.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
19

PC hardware projects. Indianapolis, IN: Prompt Publications, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
20

Electronics technology handbook. New York: McGraw-Hill, 1999.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
21

1959-, Roberts Gordon W., ed. Analog test signal generation using periodic [sigma delta]-encoded data streams. Boston: Kluwer Academic, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
22

Digital hardware testing: Transistor-level fault modeling and testing. Boston: Artech House, 1992.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
23

IEEE International Workshop on Defect Based Testing (2000 Montréal, Québec). 2000 IEEE International Workshop on Defect Based Testing: April 30, 2000, Montreal, Canada : proceedings. Los Alamitos, Calif: IEEE Computer Society, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
24

Reeder, John. Using MultiSIM: Troubleshooting DC/AC circuits. 4th ed. Clifton Park, NY: Thomson Delmar Learning, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
25

Using MultiSIM: Troubleshooting DC/AC circuits. 4th ed. Clifton Park, NY: Thomson Delmar Learning, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
26

Reeder, John. Using MultiSIM: Troubleshooting DC/AC circuits. 4th ed. Clifton Park, NY: Thomson Delmar Learning, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
27

IEEE International Workshop on IDDQ Testing (4th 1998 San Jose, California). 1998 IEEE International Workshop on IDDQ Testing: Proceedings : November 12-13, 1998, San Jose, California. Los Alamitos, California: IEEE Computer Society Press, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
28

Lavagno, Luciano. Algorithms for synthesis and testing of asynchronous circuits. Boston: Kluwer Academic, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
29

Lawday, Geoff. A signal integrity engineer's companion: Real-time test and measurement and design simulation. Upper Saddle River, NJ: Prentice Hall, 2008.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
30

1957-, Ireland David, ed. A signal integrity engineer's companion: Real-time test and measurement and design simulation. Upper Saddle River, NJ: Prentice Hall, 2008.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
31

Sintez diagnostiruemykh skhem vychislitelʹnykh ustroĭstv. Moskva: "Nauka," Glav. red. fiziko-matematicheskoĭ lit-ry, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
32

Stolov, E. L. Metody kompaktnogo testirovanii͡a︡ t͡s︡ifrovykh ustroĭstv. Kazan': Izd-vo Kazanskogo universiteta, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
33

Mazur, Glen. Digital multimeter principles. 4th ed. Orland Park, Ill: American Technical Publishers, 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
34

Bushnell, Michael L., and Vishwani D. Agrawal. Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/b117406.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Perry, William E. A structured approach to systems testing. 2nd ed. Wellesley, Mass: QED Information Sciences, 1988.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
36

Matthes, Wolfgang. Fault-finding in computers and digital circuits: Measurement and testing. Dorchester: Elektor Electronics (Pub.), 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
37

Davidson, Homer L. Pocket digital multimeter techniques. Blue Ridge Summit, PA: Tab Books, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
38

Parker, Kenneth P. The boundary-scan handbook: Analog and digital. 2nd ed. New York: Kluwer Academic, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
39

Parker, Kenneth P. The boundary-scan handbook: Analog and digital. 2nd ed. Boston: Kluwer Academic Publishers, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
40

Asian Test Symposium (1st 1992 Hiroshima, Japan). Proceedings: First Asian Test Symposium (ATS '92), November 26-27, 1992, Hiroshima, Japan. Los Alamitos, CA: IEEE Computer Society Press, 1992.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
41

Nazarov, S. V. Izmeritelʹnye sredstva i optimizat͡s︡ii͡a︡ vychislitelʹnykh sistem. Moskva: "Radio i svi͡a︡zʹ", 1990.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
42

Office, General Accounting. Battlefield automation: Better justification and testing needed for common computer acquisition : report to congressional requesters. Washington, D.C: The Office, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
43

Usability testing and system evaluation: A guide for designing useful computer systems. London: Chapman & Hall, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
44

López, Roberto Téllez-Girón. Testability analysis: A survey on methods and applications. Köln: Verlag TÜV Rheinland, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
45

Cosgrove, Steven John. Expert system technology applied to the testing of complex digital electronic architectures: TEXAS : a synergistic test strategy planning and functional test pattern generation methodology applicable to the design, development and testing of complex digital electronic circuits. Uxbridge: Brunel University, 1989.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
46

Asian Test Symposium (15th 2006 Fukuoka, Japan). Proceedings of the 15th Asian Test Symposium: 20-23 November 2006, Fukuoka, Japan. Los Alamitos, Calif: IEEE Computer Society, 2006.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
47

Computer hardware diagnostics for engineers. New York: McGraw-Hill, 1995.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
48

International, Test Conference (1997 Washington D. C. ). Proceedings. Washington, D.C: The Conference, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
49

C), International Test Conference (25th 1994 Washington D. Proceedings: International Test Conference, 1994. Altoona, PA: The Conference, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
50

C), International Test Conference (25th 1994 Washington D. Proceedings. Altoona, PA: The Conference, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography