Journal articles on the topic 'Dielectrics'

To see the other types of publications on this topic, follow the link: Dielectrics.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Dielectrics.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Yang, Zhijie, Dong Yue, Yuanhang Yao, Jialong Li, Qingguo Chi, Qingguo Chen, Daomin Min, and Yu Feng. "Energy Storage Application of All-Organic Polymer Dielectrics: A Review." Polymers 14, no. 6 (March 14, 2022): 1160. http://dx.doi.org/10.3390/polym14061160.

Full text
Abstract:
With the wide application of energy storage equipment in modern electronic and electrical systems, developing polymer-based dielectric capacitors with high-power density and rapid charge and discharge capabilities has become important. However, there are significant challenges in synergistic optimization of conventional polymer-based composites, specifically in terms of their breakdown and dielectric properties. As the basis of dielectrics, all-organic polymers have become a research hotspot in recent years, showing broad development prospects in the fields of dielectric and energy storage. This paper reviews the research progress of all-organic polymer dielectrics from the perspective of material preparation methods, with emphasis on strategies that enhance both dielectric and energy storage performance. By dividing all-organic polymer dielectrics into linear polymer dielectrics and nonlinear polymer dielectrics, the paper describes the effects of three structures (blending, filling, and multilayer) on the dielectric and energy storage properties of all-organic polymer dielectrics. Based on the above research progress, the energy storage applications of all-organic dielectrics are summarized and their prospects discussed.
APA, Harvard, Vancouver, ISO, and other styles
2

Shabgard, Mohammad Reza, Hossein Faraji, Behnam Khosrozade, Hadi Eivazi-Bagheri, and Keivan Amini. "Study the Effects of Dielectric Type on the Machining Characteristics of γ-Ti Al in Electrical Discharge Machining." International Journal of Engineering Research in Africa 33 (November 2017): 40–49. http://dx.doi.org/10.4028/www.scientific.net/jera.33.40.

Full text
Abstract:
The current study surveys the results of using deionized water and kerosene as dielectrics in the machining outputs of γ-TiAl intermetallic compound obtained in electric discharge machining. Influences of these different dielectrics properties on machining speed, tool wear, surface cracks and roughness were compared. Scanning electron microscopy micrographs were prepared to investigate influences of dielectrics on the surface characteristics of electrically discharged samples. Results indicate which by kerosene dielectric; the material removal rate (MRR) is further compared to another one. But deionized water as dielectric causes higher tool wear ratio than kerosene dielectric. Electrical discharged samples in deionized water have higher surface roughness, in addition it contains surface cracks, whereas kerosene dielectric results better surface finish in low pulse current. According to XRD spectra electric discharge machining in deionized water and kerosene dielectrics produces Ti3 Al intermetallic compound on the produced surface.
APA, Harvard, Vancouver, ISO, and other styles
3

Singh, Rajenda, and Richard K. Ulrich. "High and Low Dielectric Constant Materials." Electrochemical Society Interface 8, no. 2 (June 1, 1999): 26–30. http://dx.doi.org/10.1149/2.f06992if.

Full text
Abstract:
Silicon-based dielectrics (SiO2, Si3N4, SiOxNy etc.) have been widely used as the key dielectrics in the manufacturing of silicon integrated circuits (ICs) and virtually all other semiconductor devices. Dielectrics having a value of dielectric constant k × 8.854 F/cm more than that of silicon nitride (k > 7) are classified as high dielectric constant materials, while those with a value of k less than the dielectric constant of silicon dioxide (k < 3.9) are classified as the low dielectric constant materials. The minimum value of (k) is one for air. The highest value of k has been reported for relaxor ferroelectric (k = 24,700 at 1 kHz).
APA, Harvard, Vancouver, ISO, and other styles
4

Wagaye, Gebremedhn Wubet. "Performance Investigation of Coaxial Cable with Transmission Line Parameters Based on Lossy Dielectric Medium." Indonesian Journal of Electrical Engineering and Computer Science 11, no. 2 (August 1, 2018): 424. http://dx.doi.org/10.11591/ijeecs.v11.i2.pp424-428.

Full text
Abstract:
<p>This paper presents the analysis of high performance for coaxial cable with transmission line parameters. The modeling for performance of coaxial cable contains many parameters, in this paper will discuss the more effective parameter is the type of dielectric mediums. This analysis of the performance related to dielectric mediums with respect to dielectric losses and its effect upon cable properties, dielectrics versus characteristic impedance, and the attenuation in the coaxial line for different dielectrics. The analysis depends on a simple mathematical model for coaxial cables to test the influence of the insulators (Dielectrics) performance.</p>
APA, Harvard, Vancouver, ISO, and other styles
5

Su, Yipin, Xudong Shen, Zinan Zhao, Bin Wu, and Weiqiu Chen. "Electromechanical Deformations and Bifurcations in Soft Dielectrics: A Review." Materials 17, no. 7 (March 26, 2024): 1499. http://dx.doi.org/10.3390/ma17071499.

Full text
Abstract:
Dielectric elastomers have attracted considerable attention both from academia and industry alike over the last two decades due to their superior mechanical properties. In parallel, research on the mechanical properties of dielectrics has been steadily advancing, including the theoretical, experimental, and numerical aspects. It has been recognized that the electromechanical coupling property of dielectric materials can be utilized to drive deformations in functional devices in a more controllable and intelligent manner. This paper reviews recent advances in the theory of dielectrics, with specific attention focused on the theory proposed by Dorfmann and Ogden. Additionally, we provide examples illustrating the application of this theory to analyze the electromechanical deformations and the associated bifurcations in soft dielectrics. We compared the bifurcations in elastic and dielectric materials and found that only compressive bifurcation modes exist in elastic structures, whereas both compressive and tensile modes coexist in dielectric structures. We summarize two proposed ways to suppress and prevent the tensile bifurcations in dielectric materials. We hope that this literature survey will foster further advancements in the field of the electroelastic theory of soft dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
6

Wallace, Robert M., and Glen Wilk. "Alternative Gate Dielectrics for Microelectronics." MRS Bulletin 27, no. 3 (March 2002): 186–91. http://dx.doi.org/10.1557/mrs2002.70.

Full text
Abstract:
AbstractThis brief article sets the context for the March 2002 issue of MRS Bulletin focusing on Alternative Gate Dielectrics for Microelectronics. Contributors are several experts from industry and academia engaged in the search for manufacturable solutions for a suitable alternative gate dielectric to SiO2 using high-dielectric-constant (high-ĸ) materials. Issues discussed in the articles include thermodynamics criteria for materials selection, materials interactions in the construction of the transistor gate stack, characterization of alternative materials, determination of suitable band offsets for candidate dielectrics, and integration of these alternative gate dielectrics in a manufacturable environment.
APA, Harvard, Vancouver, ISO, and other styles
7

Chi, Xiaohong, Wenfeng Liu, Shengtao Li, and Xiaohong Zhang. "The Effect of Humidity on Dielectric Properties of PP-Based Nano-Dielectric." Materials 12, no. 9 (April 28, 2019): 1378. http://dx.doi.org/10.3390/ma12091378.

Full text
Abstract:
Nano-dielectrics are sensitive to humidity and easily degraded in damp environment because of the high surface energy of nanoparticles. In order to study the effect of humidity on the dielectric properties of nano-dielectric, polypropylene (PP) was modified by polyolefin elastomer (POE) and nano-SiO2, and the samples with obvious filling concentration were pre-selected by breakdown strength for damp aging. The aging experiments were carried out in different relative humidity. The dielectric properties of new, hygroscopic saturation and samples after drying were measured and analyzed. It is found that the breakdown strength of hygroscopic saturation nano-dielectrics decreased obviously compared with new samples, and it was difficult to recover after drying. The damp degradation resulted in different changing trends of permittivity of PP and nano-dielectric, but there were relaxation loss peaks of water in both of them. The influence of damp degradation on the trap distribution was studied by thermally stimulated depolarization currents (TSDC), and it was found that the traps level introduced by water molecules was different in PP and nano-dielectrics. All experiment results showed that the performance of nano-dielectrics degraded obviously in humid environment, and it was difficult to recover even after complete drying because of the existence of bounded water molecules in nano-dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
8

Huang, Jing-Kai, Yi Wan, Junjie Shi, Ji Zhang, Ya-Ping Chiu, Sean Li, and Lain-Jong Li. "(Invited, Digital Presentation) Heterogeneous Integration of Ultrahigh-Κ Single-Crystalline SrTiO3 Membranes for Two-Dimensional Electronics." ECS Meeting Abstracts MA2022-02, no. 36 (October 9, 2022): 1315. http://dx.doi.org/10.1149/ma2022-02361315mtgabs.

Full text
Abstract:
Even though competitive 2D field-effect transistors (FETs) with the scaled channel dimensions have been demonstrated, it still is a challenge to integrate 2D semiconductors and high-κ dielectrics without deteriorating their interfaces, while decreasing capacitance equivalent thickness (CET) of dielectrics to maintain the gate controllability. In particular, the dielectric/channel interface is one of the predominant factors to affect device performance, including carrier mobility, switching behavior, and drifts of device parameters. This is often caused by the nature of dielectrics used, and the integrating methodologies applied. Typically, high-κ dielectrics used in silicon technology (i.e., Al2O3 and HfO2) are inherited by 2D FETs. The amorphous nature of these oxides makes the elimination of charge scattering and trapping sites at the dielectric/channel interfaces extremely difficult, not to mention that the direct deposition of dielectrics usually damages the 2D channel and results in poor uniformity in sheer thickness. Some interfacial passivation layers and processes have been developed, but they lead to thickening overall CET instead. Alternative approaches with the crystalline dielectric materials such as multilayer hBN and epitaxial calcium fluoride (CaF2), whose surfaces are well-constructed and atomic-flat, have demonstrated the competitive advantages of crystalline dielectric over the conventional amorphous oxides in spite of relatively lower κ values. Here, we demonstrate ultra-scaled 2D FETs with desirable sub-1 nm CET through heterogeneous integration of monolayer CVD MoS2 and quasi-2D single-crystalline SrTiO3 membranes, where the optimized SrTiO3 gate dielectrics exhibit a low gate leakage (J leak < 10-2 A/cm2 at 2.5 MV/cm). Typical transistors manifest good reliability and competitive performance characteristics, including steep subthreshold swings (SS) down to ~70 mV dec-1 and ON/OFF current ratios up to 107, matching low-power specifications suggested by the latest International Roadmap for Devices and Systems (IRDS). In addition, the van der Waals (vdW) interface between quasi-2D dielectrics and 2D semiconductors moderates the unfavorable fringing-induced barrier lowering (FIBL) effect occurring in ultra-scaled Si transistors with very high-κ dielectrics, which broadens the dielectric selection in 2D electronics for future technology node.
APA, Harvard, Vancouver, ISO, and other styles
9

Choi, Junhwan, and Hocheon Yoo. "Combination of Polymer Gate Dielectric and Two-Dimensional Semiconductor for Emerging Field-Effect Transistors." Polymers 15, no. 6 (March 10, 2023): 1395. http://dx.doi.org/10.3390/polym15061395.

Full text
Abstract:
Two-dimensional (2D) materials are considered attractive semiconducting layers for emerging field-effect transistors owing to their unique electronic and optoelectronic properties. Polymers have been utilized in combination with 2D semiconductors as gate dielectric layers in field-effect transistors (FETs). Despite their distinctive advantages, the applicability of polymer gate dielectric materials for 2D semiconductor FETs has rarely been discussed in a comprehensive manner. Therefore, this paper reviews recent progress relating to 2D semiconductor FETs based on a wide range of polymeric gate dielectric materials, including (1) solution-based polymer dielectrics, (2) vacuum-deposited polymer dielectrics, (3) ferroelectric polymers, and (4) ion gels. Exploiting appropriate materials and corresponding processes, polymer gate dielectrics have enhanced the performance of 2D semiconductor FETs and enabled the development of versatile device structures in energy-efficient ways. Furthermore, FET-based functional electronic devices, such as flash memory devices, photodetectors, ferroelectric memory devices, and flexible electronics, are highlighted in this review. This paper also outlines challenges and opportunities in order to help develop high-performance FETs based on 2D semiconductors and polymer gate dielectrics and realize their practical applications.
APA, Harvard, Vancouver, ISO, and other styles
10

Biju, Anjitha, Maria Joseph, V. N. Archana, Navya Joseph, and M. R. Anantharaman. "High Dielectric Constant Liquid Dielectrics Based on Magnetic Nanofluids." Journal of Nanofluids 12, no. 4 (May 1, 2023): 1141–50. http://dx.doi.org/10.1166/jon.2023.1973.

Full text
Abstract:
Magnetic nanofluids are increasingly finding new applications. They can be employed as liquid dielectrics. The advantage of having a liquid dielectric is that high dielectric constant can be achieved by a judicious choice of the base liquid. The dielectric constant can be tuned with the help of an external magnetic field too. Superparamagnetic iron oxide nanoparticles were dispersed in polar carriers, namely water, polyvinyl alcohol, ethylene glycol, and a nonpolar carrier like kerosene to obtain stable magnetic fluids after ensuring the crystallographic phase purity along with appropriate magnetic characteristics of the dispersant. The fluids were then subjected to dielectric studies using an automated homemade dielectric setup. The dielectric permittivity and dielectric loss at different frequencies with and without an external magnetic field were evaluated. The studies indicate that magnetic nanofluids based on polar carriers are excellent liquid dielectrics over a wide range of frequencies with the incorporation of iron oxide nanoparticles. The application of an external magnetic field enhances the dielectric constant considerably. These magnetic nanofluids can be employed as liquid dielectrics for applications. It has been found that kerosene based magneto fluids have a low dielectric constant while Polyvinyl alcohol based fluids exhibit the highest dielectric constant.
APA, Harvard, Vancouver, ISO, and other styles
11

Bai, Xiran, Michael J. Jewell, Steve K. Lamoreaux, Reina H. Maruyama, and Karl van Bibber. "On the use of dielectric elements in axion searches with microwave resonant cavities." Journal of Instrumentation 18, no. 07 (July 1, 2023): P07017. http://dx.doi.org/10.1088/1748-0221/18/07/p07017.

Full text
Abstract:
Abstract This study explores the primary effects of dielectric materials in a resonant cavity-based search for axion dark matter. While dielectrics prove beneficial in numerous cases, their incorporation may lead to less-than-optimal performance, especially for the lowest TM mode. Additionally, the stronger confinement of the electric field inside the dielectrics can exacerbate mode mixings, in particular for higher-order modes. Case studies have been carried out using a combination of analytical solutions and numerical simulations. The findings indicate dielectric cavities employing the TM010 mode experience a significant reduction in sensitivity when compared to a similar search conducted in a cavity at equivalent frequency using no dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
12

Булярский, С. В., В. С. Белов, Г. Г. Гусаров, А. В. Лакалин, К. И. Литвинова, and А. П. Орлов. "Определение механизмов протекания тока в структурах из двух слоев диэлектриков." Физика и техника полупроводников 57, no. 2 (2023): 122. http://dx.doi.org/10.21883/ftp.2023.02.55335.3545.

Full text
Abstract:
Diodes of type Metal-Dielectric 1-Dielectric 2-Metal are promising for use in devices paired with antennas-rectennas. To create diodes with the characteristics required for operation, it is necessary to understand the mechanisms of current transport in both dielectrics and their contacts with metals. To solve this problem, it is necessary to develop an algorithm for dividing the general current-voltage characteristic into characteristics of individual contacts, the analysis of which will also allow us to investigate the problems of the properties of defects in the dielectrics that make up the diode. In this paper, the solution of the above problems is presented on the example of the Al-Al2O3-Ta2O5-Ni diode. The authors showed how one can divide the current-voltage characteristic into components, calculate potential barriers at the boundaries of metals with contacting dielectrics, and determine the concentration and energy characteristics of structural defects in dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
13

Sawa, G. "Dielectric Breakdown in Solid Dielectrics." IEEE Transactions on Electrical Insulation EI-21, no. 6 (December 1986): 841–46. http://dx.doi.org/10.1109/tei.1986.348991.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Shin, Jaemin, Tyafur Pathan, Guanyu Zhou, and Christopher L. Hinkle. "(Invited) Bulk Traps in Layered 2D Gate Dielectrics." ECS Transactions 113, no. 2 (May 17, 2024): 25–33. http://dx.doi.org/10.1149/11302.0025ecst.

Full text
Abstract:
In this work, we synthesize new 2D layered dielectrics and fabricate metal-insulator-metal (MIM) capacitors to determine their viability for scaled gate dielectrics (ZrNCl, HfNCl, BiOCl, and Mg(OH)2) in transition metal dichalcogenide-based transistors. While successful synthesis and fabrication was demonstrated, the properties of the dielectrics were decidedly underwhelming for device applications. The dielectric constants, in most cases, were only marginally better than SiO2 (k = 4-6), the leakage currents were too high due to poor band offsets, and most importantly, the bulk trap density, as seen previously in III-V devices, was very high. Overall, there still is no viable 2D gate dielectric for scaled field effect transistors.
APA, Harvard, Vancouver, ISO, and other styles
15

Gunawan, Vincensius. "Numerical study of vegetable oil as dielectric in the generation of surface plasmon polaritons in metal: The case of double interfaces." International Journal of Scientific Research and Management 10, no. 06 (June 24, 2022): 16–19. http://dx.doi.org/10.18535/ijsrm/v10i6.p01.

Full text
Abstract:
Modified electromagnetic waves which is resulted from coupling of surface plasmon and initial electromagnetic waves are called surface plasmon polaritons (SPP). These type of polaritons are generated at the interface between metal and dielectric. Many studies are performed since SPP have potential application in many fields. The process of generating SPP was usually using dielectrics in the form of solid. However, the usage of liquid dielectric in generating SPP is very rare. In this study, we predict numerically the usage of liquid dielectrics by solving the dispersion relation of the SPP. The dispersion relation was derived using Maxwell equations and the continuity of the fields at the interfaces. The metal was immersed in the liquid dielectrics. We used parameters of castor oil as liquid dielectric in the numerical calculation. We found that the dispersion relation had two branches. One branch represented in phase condition while the other branch illustrated out of phase condition. This result agree with the previous research using solid dielectric.
APA, Harvard, Vancouver, ISO, and other styles
16

Sathyakam, P. Uma, and Partha S. Mallick. "Future Dielectric Materials for CNT Interconnects - Possibilities and Challenges." Journal of Nano Research 52 (May 2018): 21–42. http://dx.doi.org/10.4028/www.scientific.net/jnanor.52.21.

Full text
Abstract:
Carbon nanotube (CNT) interconnects are emerging as the ultimate choice for next generation ultra large scale integrated (ULSI) circuits. Significant progress in precise growth of aligned CNTs and integration of multiwalled CNT interconnects into a test chip make them promising candidates for future nanoelectronic chips. Tremendous research efforts were made on silicon based ultra-low-k dielectrics for Cu interconnects, but, the most recent advancements in polymer based composites as dielectric materials open up fresh challenges in the use of low-k dielectrics for CNT interconnects. This paper reviews the emerging polymer composites like Boron Nitride Nanotubes, Graphene/Polyimide composites, Metal Organic Frameworks and small diameter CNTs. Many reviews are already exists on the synthesis, fabrication, dielectric, mechanical, chemical and thermal properties of these materials. In this review, we have explained the specific properties of these materials and the necessities for integrating them into CNT interconnects to meet the requirements of future IC designers.Keywords: low-k dielectric materials, ultra low-k dielectrics, carbon nanotubes, interconnects, dielectric constant,
APA, Harvard, Vancouver, ISO, and other styles
17

Kim, Dae-Cheol, and Young-Geun Ha. "Self-Assembled Hybrid Gate Dielectrics for Ultralow Voltage of Organic Thin-Film Transistors." Journal of Nanoscience and Nanotechnology 21, no. 3 (March 1, 2021): 1761–65. http://dx.doi.org/10.1166/jnn.2021.19083.

Full text
Abstract:
We developed self-assembled hybrid dielectric materials via a facile and low-temperature solution process. These dielectrics are used to facilitate ultralow operational voltage of organic thinfilm transistors. Self-assembly of bifunctional phosphonic acid and ultrathin hafnium oxide layers results in the self-assembled hybrid dielectrics. Additionally, the surface property of the top layer of hafnium oxide can be tuned by phosphonic acid-based self-assembled molecules to improve the function of the organic semiconductors. These novel hybrid dielectrics demonstrate great dielectric properties as low-level leakage current densities of <1.45×10−6 A/cm2, large capacitances (up to 800 nF/cm2), thermal stability (up to 300 °C), and featureless morphology (root-mean-square roughness ˜0.3 nm). As a result, self-assembled gate dielectrics can be incorporated into thin-film transistors with p-type organic semiconductors functioning at ultralow voltages (<-2 V) to achieve enhanced performance (hole mobility: 0.88 cm2/V·s, and Ion/Ioff: > 105, threshold voltage: 0.5 V).
APA, Harvard, Vancouver, ISO, and other styles
18

Lee, Yih-Shing, Yu-Hsin Wang, Tsung-Cheng Tien, Tsung-Eong Hsieh, and Chun-Hung Lai. "Electrical Characteristics and Stability Improvement of Top-Gate In-Ga-Zn-O Thin-Film Transistors with Al2O3/TEOS Oxide Gate Dielectrics." Coatings 10, no. 12 (November 24, 2020): 1146. http://dx.doi.org/10.3390/coatings10121146.

Full text
Abstract:
In this work, two stacked gate dielectrics of Al2O3/tetraethyl-orthosilicate (TEOS) oxide were deposited by using the equivalent capacitance with 100-nm thick TEOS oxide on the patterned InGaZnO layers to evaluate the electrical characteristics and stability improvement of amorphous indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) devices, including positive bias stress (PBS) and negative bias stress (NBS) tests. Three different kinds of gate dielectrics (Al2O3, TEOS, Al2O3/TEOS) were used to fabricate four types of devices, differing by the gate dielectric, as well as its thickness. As the Al2O3 thickness of Al2O3/TEOS oxide dielectric stacks increased, both the on-current and off-current decreased, and the transfer curves shifted to larger voltages. The lowest ∆Vth of 0.68 V and ∆S.S. of −0.03 V/decade from hysteresis characteristics indicate that the increase of interface traps and charge trapping between the IGZO channel and gate dielectrics is effectively inhibited by using two stacked dielectrics with 10-nm thick Al2O3 and 96-nm thick TEOS oxide. The lowest ∆Vth and ∆S.S. values of a-IGZO TFTs with 10-nm thick Al2O3 and 96-nm thick TEOS oxide gate dielectrics according to the PBS and NBS tests were shown to have the best electrical stability in comparison to those with the Al2O3 or TEOS oxide single-layer dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
19

Ling, H. C., M. F. Yan, and W. W. Rhodes. "High dielectric constant and small temperature coefficient bismuth-based dielectric compositions." Journal of Materials Research 5, no. 8 (August 1990): 1752–62. http://dx.doi.org/10.1557/jmr.1990.1752.

Full text
Abstract:
We have studied the crystal structure and the dielectric properties of a scries of Bi-based ceramic compositions as a function of compositional variation and sintering temperature. These dielectrics have dielectric constants hetween 70 and 165 and their temperature coefficients are within ±500 × 10−6/°C. The precise temperature coefficient can be controlled via compositional changes such that dielectrics with temperature coefficients within ±50 × 10−6/°C are easily obtainable. The room temperature dissipation factor is smaller than 0.001 or equivalently, the Q value is greater than 1000. The electrical resistivity is greater than 1014 ohm-cm. Furthermore, these dielectrics are sinterable below 960 °C, rendering it possible to use silver or high silver metallization as the internal electrode in making the multilayer ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
20

Krishnan, Bharat, Hrishikesh Das, Yaroslav Koshka, Igor Sankin, P. A. Martin, and Michael S. Mazzola. "Process-Dependent Charges and Traps in Dielectrics on SiC." Materials Science Forum 527-529 (October 2006): 995–98. http://dx.doi.org/10.4028/www.scientific.net/msf.527-529.995.

Full text
Abstract:
Dielectric charges and charge stability were compared in different dielectrics formed on SiC by different processing techniques. The concentration and transient behavior of the interface and trapped charges were investigated. Strong hysteresis and flat-band voltage drift under applied bias were observed in some of the samples. They are attributed to the trapping of the charge injected in the dielectrics. Differences in charge injection, charge trapping, and capture/emission of carriers by interface traps were pronounced for the investigated SiO2 and Si3N4 dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
21

MARACHEVSKY, VALERY N. "CASIMIR ENERGY AND REALISTIC MODEL OF DILUTE DIELECTRIC BALL." Modern Physics Letters A 16, no. 15 (May 20, 2001): 1007–16. http://dx.doi.org/10.1142/s0217732301004078.

Full text
Abstract:
The Casimir energy of a dilute homogeneous nonmagnetic dielectric ball at zero temperature is derived analytically for the first time for an arbitrary physically possible frequency dispersion of dielectric permittivity ε(iω). A microscopic model of dielectrics is considered, divergences are absent in calculations because an average interatomic distance λ is a physical cutoff in the theory. This fact has been overlooked earlier, which led to divergences in various macroscopic approaches to the Casimir energy of connected dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
22

Feng, Mengjia, Tiandong Zhang, Chunhui Song, Changhai Zhang, Yue Zhang, Yu Feng, Qingguo Chi, Qingguo Chen, and Qingquan Lei. "Improved Energy Storage Performance of All-Organic Composite Dielectric via Constructing Sandwich Structure." Polymers 12, no. 9 (August 31, 2020): 1972. http://dx.doi.org/10.3390/polym12091972.

Full text
Abstract:
Improving the energy storage density of dielectrics without sacrificing charge-discharge energy storage efficiency and reliability is crucial to the performance improvement of modern electrical and electronic systems, but traditional methods of doping high-dielectric ceramics cannot achieve high energy storage densities without sacrificing reliability and storage efficiency. Here, an all-organic energy storage dielectric composed of ferroelectric and linear polymer with a sandwich structure is proposed and successfully prepared by the electrostatic spinning method. Additionally, the effect of the ferroelectric/linear volume ratio on the dielectric properties, breakdown, and energy storage is systematically studied. The results show that the structure has good energy storage characteristics with a high energy storage density (9.7 J/cm3) and a high energy storage efficiency (78%). In addition, the energy storage density of the composite dielectric under high energy storage efficiency (90%) is effectively improved (25%). This result provides theoretical analysis and experience for the preparation of multilayer energy storage dielectrics which will promote the development and application of energy storage dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
23

Irokawa, Yoshihiro, Mari Inoue, Toshihide Nabatame, and Yasuo Koide. "Comparison of Hydrogen-Induced Oxide Charges Among GaN Metal-Oxide-Semiconductor Capacitors with Al2O3, HfO2, or Hf0.57Si0.43Ox Gate Dielectrics." ECS Journal of Solid State Science and Technology 11, no. 8 (August 1, 2022): 085010. http://dx.doi.org/10.1149/2162-8777/ac8a70.

Full text
Abstract:
The effect of hydrogen on GaN metal-oxide-semiconductor (MOS) capacitors with Al2O3, HfO2, or Hf0.57Si0.43O x gate dielectrics was studied using capacitance–voltage (C–V) measurements. Hydrogen exposure shifted all the C–V curves toward the negative bias direction, and the hydrogen response of the devices was reversible. When the hydrogen-containing ambient atmosphere was changed to N2, the C–V characteristics were found to gradually revert to the initial values in N2. Application of a reverse gate bias accelerated the reversion compared with that in the absence of a bias, indicating that hydrogen was absorbed into the dielectric (Hf0.57Si0.43O x ) as positive mobile charges. This result is consistent with the direction of the shift of the C–V curves; positively charged hydrogen absorbed into a dielectric can cause a flatband voltage shift. The hydrogen-induced shift of the C–V curves varied depending on the dielectric. MOS devices with HfO2-based high-k dielectrics were found to have approximately two to four times more incorporated charges than devices with Al2O3. Under the hypothesis that oxygen vacancies (VOs) trap hydrogen, the obtained results imply that the number of VOs in HfO2-based high-k dielectrics is much larger than that in Al2O3-based dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
24

Bulyarskiy S. V., Belov V. S., Gusarov G. G., Lakalin A.V., Litvinova K. I., and Orlov A. P. "Determining the mechanisms of current flow in structures of two-layer dielectrics." Semiconductors 57, no. 2 (2023): 124. http://dx.doi.org/10.21883/sc.2023.02.55958.3545.

Full text
Abstract:
Diodes of type Metal-Dielectric 1-Dielectric 2-Metal are promising for use in devices paired with antennas-rectennas. To create diodes with the characteristics required for operation, it is necessary to understand the mechanisms of current transport in both dielectrics and their contacts with metals. To solve this problem, it is necessary to develop an algorithm for dividing the general current-voltage characteristic into characteristics of individual contacts, the analysis of which will also allow us to investigate the problems of the properties of defects in the dielectrics that make up the diode. In this paper, the solution of the above problems is presented on the example of the Al-Al2O3-Ta2O5-Ni diode. The authors showed how one can divide the current-voltage characteristic into components, calculate potential barriers at the boundaries of metals with contacting dielectrics, and determine the concentration and energy characteristics of structural defects in dielectrics. Keywords: metal-insulator-metal diodes, current-voltage characteristics, Poole-Frenkel effect, currents of thermionic and thermal field emission, currents limited by space charge.
APA, Harvard, Vancouver, ISO, and other styles
25

Dmitrikov, Vladimir F., and Dmitry V. Shushpanov. "Equivalent circuit of a dielectric in a wide frequency range (0 Hz – 500 MHz)." Physics of Wave Processes and Radio Systems 25, no. 3 (September 29, 2022): 43–57. http://dx.doi.org/10.18469/1810-3189.2022.25.3.43-57.

Full text
Abstract:
Based on the measured impedance of the dielectrics an equivalent high frequency (0 Hz - 500 MHz) circuit model was built. The equivalent circuit model was built taking into account the physical processes occurring in the dielectric. The attempt explaining why the frequency characteristics (modulus and phase) of the dielectric complex impedance have such a character in a wide frequency band (up to 500 MHz) was made. It was shown that for constructing an equivalent circuit model (structure and parameters), measuring only the dielectrics resistance modulus is not enough. It is also necessary to measure the phase of the dielectric complex resistance, which is ignored in many works on the synthesis of an e dielectric equivalent circuit.
APA, Harvard, Vancouver, ISO, and other styles
26

Gunawan, Vincensius. "The surface plasmon polaritons in metal which is immersed in vegetable oil: The effect of metal thickness." Journal of Physics: Conference Series 2498, no. 1 (May 1, 2023): 012028. http://dx.doi.org/10.1088/1742-6596/2498/1/012028.

Full text
Abstract:
Abstract The liquid dielectrics have been widely used in transformer technology. However, there is very limited information in the usage of liquid dielectrics in optics, especially in generating surface plasmon polaritons (SPP) at the interface between conductor and dielectrics. In this study, we immersed conductor in liquid dielectrics and predicted the generation of SPP. Here, we used vegetable oils as liquid dielectric, since vegetable oil was relatively cheap and environment friendly. Using Maxwell equations and the continuity of the fields at the interface, the dispersion relation was derived. Then, dispersion relation was solved numerically using root finding technique. We found that the conductor’s thickness affected the frequency of surface plasmon polaritons. The thinner the conductor thickness, the higher the SPP frequency will be.
APA, Harvard, Vancouver, ISO, and other styles
27

Lakes, R. S. "Static and dynamic effects of chirality in dielectric media." Modern Physics Letters B 30, no. 24 (September 10, 2016): 1650319. http://dx.doi.org/10.1142/s021798491650319x.

Full text
Abstract:
Chiral dielectrics are considered from the perspective of continuum representations of spatial heterogeneity. Static effects in isotropic chiral dielectrics are predicted, provided the electric field has nonzero third spatial derivatives. The effects are compared with static chiral phenomena in Cosserat elastic materials which obey generalized continuum constitutive equations. Dynamic monopole-like magnetic induction is predicted in chiral dielectric media.
APA, Harvard, Vancouver, ISO, and other styles
28

Schlom, Darrell G., and Jeffrey H. Haeni. "A Thermodynamic Approach to Selecting Alternative Gate Dielectrics." MRS Bulletin 27, no. 3 (March 2002): 198–204. http://dx.doi.org/10.1557/mrs2002.71.

Full text
Abstract:
AbstractAs a first step in the identification of suitable alternative gate dielectrics for metal oxide semiconductor field-effect transistors (MOSFETs), we have used tabulated thermodynamic data to comprehensively assess the thermodynamic stability of binary oxides and nitrides in contact with silicon at temperatures from 300 K to 1600 K. Sufficient data exist to conclude that the vast majority of binary oxides and nitrides are thermodynamically unstable in contact with silicon. The dielectrics that remain are candidate materials for alternative gate dielectrics. Of these remaining candidates, the oxides have a significantly higher dielectric constant (ĸ) than the nitrides. We then extend this thermodynamic approach to multicomponent oxides comprising the candidate binary oxides. The result is a relatively small number of silicon-compatible gate dielectric materials with ĸ values substantially greater than that of SiO2 and optical bandgaps ≥ eV.
APA, Harvard, Vancouver, ISO, and other styles
29

Busch, Brett W., Olivier Pluchery, Yves J. Chabal, David A. Muller, Robert L. Opila, J. Raynien Kwo, and Eric Garfunkel. "Materials Characterization of Alternative Gate Dielectrics." MRS Bulletin 27, no. 3 (March 2002): 206–11. http://dx.doi.org/10.1557/mrs2002.72.

Full text
Abstract:
AbstractContinued scaling of microelectronic devices is demanding that alternatives to SiO2 as the gate dielectric be developed soon. This in turn has placed enormous pressure on the abilities of physical characterization techniques to address critical issues such as film and interface structure and composition, transport properties, and thermal or chemical stability. This article summarizes the strengths and capabilities of four techniques used for the materials characterization of alternative gate dielectrics: scanning transmission electron microscopy (STEM) in conjunction with electron energy-loss spectroscopy (EELS), medium-energy ion scattering (MEIS), infrared-absorption spectroscopy (IRAS), and x-ray photoelectron spectroscopy (XPS). The complementary nature of these techniques has allowed for a detailed picture of the various properties of alternative gate dielectrics, and in particular of the dielectric/silicon interface. Critical issues and features of several important alternative gate dielectrics, ZrO2, AI2O3, Y2O3, and Gd2O3, are explored in light of the well-studied SiO2/Si system.
APA, Harvard, Vancouver, ISO, and other styles
30

Sal, Bilal Abu. "Synthesis and Structural Properties of Nanocomposites Based on Synthetic Opals and Active Dielectrics." Applied Physics Research 12, no. 1 (December 2, 2019): 19. http://dx.doi.org/10.5539/apr.v12n1p19.

Full text
Abstract:
This work is devoted to generalize and analyze the previouse results of new photonic-crystalline nanomaterials based on synthetic opals and active dielectrics. Data were characterized by X-ray diffraction and Raman spectroscopy. Active dielectrics infiltrated into the pores of the opal from the melt. The phase structure composition of the infiltrated materials into the pores of the opal matrix were analyzed. The results of x-ray diffraction and Raman spectra allowed to establish the crystal state of active dielectrics in the pores of the opal. The Raman spectra of some opal-active dielectric nanocomposites revealed new bands and changes in band intensities compared to the spectra of single crystals of active dielectrics. Further more, differences in band intensities in the spectra were measured at different spots of the sample&lsquo;s surface were observed. The revealed changes were attributed to the formation of new crystalline phases due to the injected dielectrics in opal pores.
APA, Harvard, Vancouver, ISO, and other styles
31

Lo, Wai, Arvind Kamath, Shreyas Kher, Craig Metzner, Jianguo Wen, and Zhihao Chen. "Deposition and characterization of HfO2 high k dielectric films." Journal of Materials Research 19, no. 6 (June 2004): 1775–82. http://dx.doi.org/10.1557/jmr.2004.0247.

Full text
Abstract:
As the scaling of complementary metal-oxide-semiconductor (CMOS) transistors proceeds, the thickness of the SiO2 gate dielectrics shrinks rapidly and results in higher gate leakage currents. High k dielectric materials are acknowledged to be the possible solutions to this challenge, as their higher k values (e.g., 15–50) raise the physical thickness of the dielectrics that provide similar equivalent thickness of a thinner SiO2 film. In order for the high k materials to be applicable in CMOS devices, there should exist deposition technologies that can deposit highly uniform films over Si wafers with diameters as large as 200 mm. This report discusses the deposition process and the correlation between the growth conditions, structural and dielectric properties of HfO2, which is one of the most promising high k dielectric materials. Judging from the thickness uniformity, surface roughness, k value, and interfacial density of state of the HfO2 films, the metalorganic chemical vapor deposition technique was identified to be suitable for growing HfO2 films targeted at applications as CMOS gate dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
32

Tlhabologo, Bokang Agripa, Ravi Samikannu, and Modisa Mosalaosi. "Alternative liquid dielectrics in power transformer insulation: a review." Indonesian Journal of Electrical Engineering and Computer Science 23, no. 3 (September 1, 2021): 1761. http://dx.doi.org/10.11591/ijeecs.v23.i3.pp1761-1777.

Full text
Abstract:
Transformer liquid dielectrics evolved where mineral oil has been the dominant choice until emergence of synthetic esters and natural esters. Natural ester-based oils have been under extensive investigations to enhance their properties for replacing petroleum-based mineral oil, which is non-biodegradable and has poor dielectric properties. This paper focuses on exposition of natural ester oil application in mixed transformer liquid dielectrics. Physical, chemical, electrical, and ageing characteristics of these dielectrics and the dissolved gas analysis (DGA) were reviewed. Physical properties include viscosity, pour point, flash and fire point which are vital indicators of heat insulation and fire risk. Chemical properties considered are water content, acid number, DGA, corrosive sulphur, and sludge content to limit and detect degradation and corrosion due to oil ageing. Electrical properties including breakdown voltage were considered for consistent insulation during overload and fault conditions. These properties of evolving alternative dielectrics were reviewed based on ASTM International standards and International Electro technical Commission standards for acceptable transformer liquid dielectrics. This review paper was compiled to avail modern methodologies for both the industry and scholars, also providing the significance of using mixed dielectrics for power transformers as they are concluded to show superiority over non-mixed dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
33

Sun, Zhongheng. "Comparison and analysis of gate dielectrics for SiC MOSFET." Applied and Computational Engineering 23, no. 1 (November 7, 2023): 223–29. http://dx.doi.org/10.54254/2755-2721/23/20230659.

Full text
Abstract:
SiC MOSFET has been widely used for its characteristics of lower on-off resistance, less switching loss, higher working frequency, and high-temperature resistance. With the scale down of Moore's Law, better gate dielectrics should be selected to improve the breakdown voltage and reduce the gate-drain current to ensure a good working mode of MOSFETs. The traditional gate dielectric is SiO2 but their dielectric constant is low and the interface characteristics at the junction of SiO2 and SiC are poor so various emerging materials have been created to replace the traditional SiO2. Emerging gate dielectrics such as high-k gate dielectrics are receiving a lot of attention today, they can increase breakdown voltage and decrease gate-drain current while maintaining oxide thickness. Among many emerging gate dielectrics, Al2O3, HfO2, and HfSiON have been noticed due to their good characteristics and a lot of research on them. This paper will focus on the analysis of the characteristics of these three materials and their applications in MOSFET. Finally, after a detailed analysis of the three materials, they three materials are compared to understand their differences.
APA, Harvard, Vancouver, ISO, and other styles
34

Liu, Chong, and Xiao Li Fan. "Methods to Improve Properties of Gate Dielectrics in Metal-Oxide-Semiconductor." Advanced Materials Research 463-464 (February 2012): 1341–45. http://dx.doi.org/10.4028/www.scientific.net/amr.463-464.1341.

Full text
Abstract:
This essay aims to introduce development of gate dielectrics. In present-day society, Si-based MOS has met its physical limitation. Scientists are trying to find a better material to reduce the thickness and dimension of MOS devices. While substrate materials are required to have a higher mobility, gate dielectrics are expected to have high k, low Dit and low leakage current. I conclude dielectrics in both Si-based and Ge-based MOS devices and several measures to improve the properties of these gate dielectric materials. I also introduce studies on process in our group and some achievements we have got. Significantly, this essay points out the special interest in rare-earth oxides functioning as gate dielectrics in recent years and summarizes the advantages and problems should be resolved in future.
APA, Harvard, Vancouver, ISO, and other styles
35

Dou, Lvye, Yuan-Hua Lin, and Ce-Wen Nan. "An Overview of Linear Dielectric Polymers and Their Nanocomposites for Energy Storage." Molecules 26, no. 20 (October 12, 2021): 6148. http://dx.doi.org/10.3390/molecules26206148.

Full text
Abstract:
As one of the most important energy storage devices, dielectric capacitors have attracted increasing attention because of their ultrahigh power density, which allows them to play a critical role in many high-power electrical systems. To date, four typical dielectric materials have been widely studied, including ferroelectrics, relaxor ferroelectrics, anti-ferroelectrics, and linear dielectrics. Among these materials, linear dielectric polymers are attractive due to their significant advantages in breakdown strength and efficiency. However, the practical application of linear dielectrics is usually severely hindered by their low energy density, which is caused by their relatively low dielectric constant. This review summarizes some typical studies on linear dielectric polymers and their nanocomposites, including linear dielectric polymer blends, ferroelectric/linear dielectric polymer blends, and linear polymer nanocomposites with various nanofillers. Moreover, through a detailed analysis of this research, we summarize several existing challenges and future perspectives in the research area of linear dielectric polymers, which may propel the development of linear dielectric polymers and realize their practical application.
APA, Harvard, Vancouver, ISO, and other styles
36

Zhao, Cuijiao, Xiaonan Wei, Yawen Huang, Jiajun Ma, Ke Cao, Guanjun Chang, and Junxiao Yang. "Preparation and unique dielectric properties of nanoporous materials with well-controlled closed-nanopores." Physical Chemistry Chemical Physics 18, no. 28 (2016): 19183–93. http://dx.doi.org/10.1039/c6cp00465b.

Full text
Abstract:
Although general porous materials have a low dielectric constant, their uncontrollable opened porous structure results in high dielectric loss and poor barrier properties, thus limiting their application as interconnect dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
37

Petzelt, Jan. "Infrared and THz spectroscopy of nanostructured dielectrics." Processing and Application of Ceramics 3, no. 3 (2009): 145–55. http://dx.doi.org/10.2298/pac0903145p.

Full text
Abstract:
Results achieved using the infrared/THz spectroscopy of various inhomogeneous dielectrics in the Department of Dielectrics, Institute of Physics, Prague, during the last decade are briefly reviewed. The discussion concerns high-permittivity ceramics with inevitable low-permittivity dead layers along the grain boundaries, relaxor ferroelectrics with highly anisotropic polar nano-regions, classical matrix-type composites, core-shell composites, filled nanoporous glasses, polycrystalline and epitaxial thin films, heterostructures and superlattices on dielectric substrates. The analysis using models based on the effective medium approach is discussed. The importance of depolarizing field and of the percolation of components on the effective ac dielectric response and the excitations contributing to it are emphasized. .
APA, Harvard, Vancouver, ISO, and other styles
38

Gridnev, S. A. "Dielectric Relaxation in Disordered Polar Dielectrics." Ferroelectrics 266, no. 1 (January 2002): 507–45. http://dx.doi.org/10.1080/00150190211307.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Gridnev, S. A. "Dielectric Relaxation in Disordered Polar Dielectrics." Ferroelectrics 266, no. 1 (January 2002): 171–209. http://dx.doi.org/10.1080/00150190211452.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Li, He, Yao Zhou, Yang Liu, Li Li, Yi Liu, and Qing Wang. "Dielectric polymers for high-temperature capacitive energy storage." Chemical Society Reviews 50, no. 11 (2021): 6369–400. http://dx.doi.org/10.1039/d0cs00765j.

Full text
Abstract:
The growing demand for advanced electronics requires dielectrics operating at high temperatures. The development of high-temperature dielectric polymers is reviewed from the perspective of structure design, dielectric and capacitive performance.
APA, Harvard, Vancouver, ISO, and other styles
41

Wada, Masayuki, Sylvain Garaud, I. Ferain, Nadine Collaert, Kenichi Sano, James Snow, Rita Vos, L. H. A. Leunissens, Paul W. Mertens, and Atsuro Eitoku. "Impact of Galvanic Corrosion on Metal Gate Stacks." Solid State Phenomena 145-146 (January 2009): 215–18. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.215.

Full text
Abstract:
High-k gate dielectrics (HK), such as HfO2 or HfSiON, are being considered as the gate dielectric option for the 45nm node and beyond. In order to alleviate the Fermi-level pinning issue and to enhance the CET (Capacitive Effective Thickness) by generating the depletion layer in poly-Silicon gate, metal gate electrodes with proper work functions (WF) have to be used on the high-k dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
42

Bachman, Mark A., Jerry Liao, John Osenbach, Zafer Kutlu, Jaeyun Gim, and Danny Brady. "Large Die Size Lead Free Flip Chip Ball Grid Array Packaging Considerations for 40nm Fab Technology." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, DPC (January 1, 2012): 000570–85. http://dx.doi.org/10.4071/2012dpc-ta23.

Full text
Abstract:
To reduce the RC latency, leading edge silicon nodes employ porous SiO2 dielectrics in the interconnect stack. Introduction of porosity lowers the dielectric constant, k, but also significantly decreases both the elastic modulus and fracture toughness of the dielectric. As such, devices manufactured in silicon processes that use low K (90nm, 65nm, and 55nm) and even more so extremely low K ( 45nm, 40nm, and 28nm) interlayer dielectrics are substantially more prone to fracture as a result of package induced stresses than non porous higher K dielectrics. Since the package stresses scale with die size and package body size and inversely with bump pitch, manufacture of large die and package size flip chip devices made with extremely low K dielectrics has proven to be challenging. The stress challenge is further exacerbated by the RoHS requirements for lead free packaging which requires higher process temperatures and somewhat higher yield point solders. The combination of increased stress and reduced mechanical robustness of porous dielectrics has lead to significant reliability and assembly yield issues that have in some cases slowed the introduction of 45nm and 40nm large die lead free flip chip into the market. The work summarized in this paper shows that devices designed to withstand stresses in combination with appropriate assembly processes and bill of materials, yield highly reliable, lead free flip chip packaged devices, with die sizes greater than 400mm2 and package sizes greater than 42.5mm on a side in commercial assembly production lines.
APA, Harvard, Vancouver, ISO, and other styles
43

Saito, Yoshito, Tomoyuki Nakamura, Kenichi Nada, Harunobu Sano, and Isao Sakaguchi. "Hydrogen migration in BaTiO3-based dielectrics under high humidity and electric field bias." Applied Physics Express 15, no. 2 (January 20, 2022): 021002. http://dx.doi.org/10.35848/1882-0786/ac481a.

Full text
Abstract:
Abstract This study investigates the possibility of hydrogen migration in BaTiO3-based dielectrics to improve the electrical reliability of multi-layer ceramic capacitors under conditions of high temperature, humidity, and electric field bias. It was observed that the deuterium in the dielectric drifted and migrated with the electric field, suggesting that deuterium exists as D+. The activation energy was found to be 0.34 eV, which is lower than that observed in previous studies. This finding offers a better understanding of the mechanism behind the migration of deuterium in a dielectric, which is highly relevant to future research in dielectrics and electronic components.
APA, Harvard, Vancouver, ISO, and other styles
44

Xie, Juan, Hanxing Liu, Zhonghua Yao, Hua Hao, Yanjiang Xie, Zongxin Li, Minghe Cao, and Shujun Zhang. "Achieving ultrahigh energy storage performance in bismuth magnesium titanate film capacitors via amorphous-structure engineering." Journal of Materials Chemistry C 7, no. 43 (2019): 13632–39. http://dx.doi.org/10.1039/c9tc04121d.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Kwon, Woo Teck, J. H. Lee, Soo Ryong Kim, H. T. Kim, Hyung Sun Kim, Y. H. Yu, and Y. Kim. "Preparation of Nano Structured SiOC Thin Film for Low k Application." Journal of Nano Research 11 (May 2010): 85–88. http://dx.doi.org/10.4028/www.scientific.net/jnanor.11.85.

Full text
Abstract:
In our study, the dielectric properties of SiOC low k thin film derived from polyphenylcarbosilane were investigated as a potential interlayer dielectrics for Cu interconnect technology. A SiOC low k thin film was fabricated onto a n-type silicon wafer by dip coating using 30wt % polyphenylcarbosilane in cyclohexane. Curing of the film was performed in air at 300°C for 2h. The thickness of the film ranges from 1 μm to 1.7 μm. The dielectric constant was determined from the capacitance data obtained from metal/polyphenylcarbosilane/conductive Si MIM capacitors and shows a dielectric constant as low as 3.26 without porosity added. The SiOC low k thin film derived from polyphenylcarbosilane shows promising application as an interlayer dielectrics for Cu interconnect technology.
APA, Harvard, Vancouver, ISO, and other styles
46

Silva Neto, L. P., J. O. Rossi, and A. R. Silva. "Applications of PZT Dielectric Ceramics in High-Energy Storage Systems." Materials Science Forum 727-728 (August 2012): 505–10. http://dx.doi.org/10.4028/www.scientific.net/msf.727-728.505.

Full text
Abstract:
The barium and strontium titanate (BST) ceramics have been used with great success as excellent dielectrics in the construction of high voltage (HV) commercial ceramic capacitors with reduced dimensions because of their high dielectric constant. However, the main point of this paper is to investigate other type of ceramic known as PZT (Lead Zirconate Titanate) normally used as piezoelectric sensors in industrial applications. The idea herein is to use the PZT ceramics as HV dielectrics for applications in high-energy storage systems by de-poling their piezoelectric properties in order to avoid dielectric damage and losses at high frequencies. For this, de-poled PZT-4 ceramic samples (30 mm × 2 mm) were submitted to HV tests, in which their dielectric breakdown strength and dielectric constant variation with the applied voltage were assessed. These results obtained confirmed the use of PZT in applications that require reasonable dielectric constant stability (< 15 %) with voltage and HV dielectric breakdown (40 kV/cm) for compact high-energy storage devices.
APA, Harvard, Vancouver, ISO, and other styles
47

Lu, Zhen Ya, Jian Qing Wu, and Zhi Wu Chen. "(Ca,Sr,Ba)TiO3-Based Dielectrics Sintered in Reducing Atmosphere." Key Engineering Materials 336-338 (April 2007): 114–17. http://dx.doi.org/10.4028/www.scientific.net/kem.336-338.114.

Full text
Abstract:
The anti-reducing sintering of (Ca,Sr,Ba)TiO3-based dielectrics was achieved by the cooperating effect of Mn2+, Ca2+, Mg2+, Dy3+ and Yb3+. A small quantity of Zr4+ partly substitution for Ti4+ can improve the temperature dependence of dielectric constant. But ZrO2 will also reduce the solid solubility of BaTiO3-SrTiO3-CaTiO3 ternary system, and may cause phase separation. The separated ferroelectric phase increases the capacitance change under DC bias. Non-reducible (Ca,Sr,Ba)(Ti,Zr)O3-based dielectrics with single orthorhombic phase of perovskite structure was obtained. The dielectric and DC bias properties are: .C/C (DC bias: 1.5kV/mm) <0.05%, ε =250~300, tgδ < 0.5%.
APA, Harvard, Vancouver, ISO, and other styles
48

Li, Qi, Feihua Liu, Tiannan Yang, Matthew R. Gadinski, Guangzu Zhang, Long-Qing Chen, and Qing Wang. "Sandwich-structured polymer nanocomposites with high energy density and great charge–discharge efficiency at elevated temperatures." Proceedings of the National Academy of Sciences 113, no. 36 (August 22, 2016): 9995–10000. http://dx.doi.org/10.1073/pnas.1603792113.

Full text
Abstract:
The demand for a new generation of high-temperature dielectric materials toward capacitive energy storage has been driven by the rise of high-power applications such as electric vehicles, aircraft, and pulsed power systems where the power electronics are exposed to elevated temperatures. Polymer dielectrics are characterized by being lightweight, and their scalability, mechanical flexibility, high dielectric strength, and great reliability, but they are limited to relatively low operating temperatures. The existing polymer nanocomposite-based dielectrics with a limited energy density at high temperatures also present a major barrier to achieving significant reductions in size and weight of energy devices. Here we report the sandwich structures as an efficient route to high-temperature dielectric polymer nanocomposites that simultaneously possess high dielectric constant and low dielectric loss. In contrast to the conventional single-layer configuration, the rationally designed sandwich-structured polymer nanocomposites are capable of integrating the complementary properties of spatially organized multicomponents in a synergistic fashion to raise dielectric constant, and subsequently greatly improve discharged energy densities while retaining low loss and high charge–discharge efficiency at elevated temperatures. At 150 °C and 200 MV m−1, an operating condition toward electric vehicle applications, the sandwich-structured polymer nanocomposites outperform the state-of-the-art polymer-based dielectrics in terms of energy density, power density, charge–discharge efficiency, and cyclability. The excellent dielectric and capacitive properties of the polymer nanocomposites may pave a way for widespread applications in modern electronics and power modules where harsh operating conditions are present.
APA, Harvard, Vancouver, ISO, and other styles
49

Gall, L. N., and A. G. Kouzmin. "Creeping Discharge Mass Spectrometry: A New Method for Elemental Analysis of Dielectrics." European Journal of Mass Spectrometry 8, no. 3 (June 2002): 207–12. http://dx.doi.org/10.1255/ejms.493.

Full text
Abstract:
The creeping discharge (CD), a special kind of surface discharge, is proposed as a method of ionization in mass spectrometry for elemental analysis of dielectrics. Characteristic features of creeping discharge ionization mass spectra of dielectric samples were studied. An yttrium oxide standard sample and dielectrics of high electric strength were successfully analyzed. The results of analysis of the ion charge state in creeping discharge are presented. A theoretical model of creeping discharge ionization is offered.
APA, Harvard, Vancouver, ISO, and other styles
50

Vorobyov, A. V., V. D. Zhora, N. I. Plis, and S. P. Timoshenkov. "Investigation of the Influence of Technological Factors on the Characteristics of Flexible Non-Adhesive Foil Dielectrics." Proceedings of Universities. ELECTRONICS 25, no. 6 (December 2020): 505–16. http://dx.doi.org/10.24151/1561-5405-2020-25-6-505-516.

Full text
Abstract:
Currently, the traditional use of varnish-foil dielectrics for manufacturing resistors, resistive assemblies and heating elements has been supplemented by their application in production of thermal resistors, the membranes of acoustic and photoelectric transformers. As a rule, the non-adhesive foil dielectrics sustain the affect of high temperatures, permit to significantly increase the density of elements and have better quality characteristics, because the adhesives have negative effect upon the electrical characteristics of the materials, manufactured with their application. Also, the adhesives have comparatively low thermal resistance, which manifests on the total thermal resistance of foil dielectric and the items manufactured on it, especially in case when as a base polyimide is used. In the paper the flexible foil dielectrics for electronic equipment and their manufacturing technology have been considered. The advantages of the non-adhesive foil dielectrics with complete imidization of the polymer base have been shown. The technology of manufacturing the varnish-foil dielectrics, used in manufacturing highly reliable microcircuits of modification 2 and of highly technological membranes of acoustic transformers, has been developed. The polyimide base of the dielectrics has high adhesion to foil and the guaranteed uniformity of the imidization extent 95-100 %. This provides the stability of technological conditions in the process of manufacturing the items from the given materials, as well as an increase of the storage life of the varnish-foil dielectrics up to 12 months.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography