Academic literature on the topic 'Dielectrics'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Dielectrics.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Dielectrics"

1

Yang, Zhijie, Dong Yue, Yuanhang Yao, Jialong Li, Qingguo Chi, Qingguo Chen, Daomin Min, and Yu Feng. "Energy Storage Application of All-Organic Polymer Dielectrics: A Review." Polymers 14, no. 6 (March 14, 2022): 1160. http://dx.doi.org/10.3390/polym14061160.

Full text
Abstract:
With the wide application of energy storage equipment in modern electronic and electrical systems, developing polymer-based dielectric capacitors with high-power density and rapid charge and discharge capabilities has become important. However, there are significant challenges in synergistic optimization of conventional polymer-based composites, specifically in terms of their breakdown and dielectric properties. As the basis of dielectrics, all-organic polymers have become a research hotspot in recent years, showing broad development prospects in the fields of dielectric and energy storage. This paper reviews the research progress of all-organic polymer dielectrics from the perspective of material preparation methods, with emphasis on strategies that enhance both dielectric and energy storage performance. By dividing all-organic polymer dielectrics into linear polymer dielectrics and nonlinear polymer dielectrics, the paper describes the effects of three structures (blending, filling, and multilayer) on the dielectric and energy storage properties of all-organic polymer dielectrics. Based on the above research progress, the energy storage applications of all-organic dielectrics are summarized and their prospects discussed.
APA, Harvard, Vancouver, ISO, and other styles
2

Shabgard, Mohammad Reza, Hossein Faraji, Behnam Khosrozade, Hadi Eivazi-Bagheri, and Keivan Amini. "Study the Effects of Dielectric Type on the Machining Characteristics of γ-Ti Al in Electrical Discharge Machining." International Journal of Engineering Research in Africa 33 (November 2017): 40–49. http://dx.doi.org/10.4028/www.scientific.net/jera.33.40.

Full text
Abstract:
The current study surveys the results of using deionized water and kerosene as dielectrics in the machining outputs of γ-TiAl intermetallic compound obtained in electric discharge machining. Influences of these different dielectrics properties on machining speed, tool wear, surface cracks and roughness were compared. Scanning electron microscopy micrographs were prepared to investigate influences of dielectrics on the surface characteristics of electrically discharged samples. Results indicate which by kerosene dielectric; the material removal rate (MRR) is further compared to another one. But deionized water as dielectric causes higher tool wear ratio than kerosene dielectric. Electrical discharged samples in deionized water have higher surface roughness, in addition it contains surface cracks, whereas kerosene dielectric results better surface finish in low pulse current. According to XRD spectra electric discharge machining in deionized water and kerosene dielectrics produces Ti3 Al intermetallic compound on the produced surface.
APA, Harvard, Vancouver, ISO, and other styles
3

Singh, Rajenda, and Richard K. Ulrich. "High and Low Dielectric Constant Materials." Electrochemical Society Interface 8, no. 2 (June 1, 1999): 26–30. http://dx.doi.org/10.1149/2.f06992if.

Full text
Abstract:
Silicon-based dielectrics (SiO2, Si3N4, SiOxNy etc.) have been widely used as the key dielectrics in the manufacturing of silicon integrated circuits (ICs) and virtually all other semiconductor devices. Dielectrics having a value of dielectric constant k × 8.854 F/cm more than that of silicon nitride (k > 7) are classified as high dielectric constant materials, while those with a value of k less than the dielectric constant of silicon dioxide (k < 3.9) are classified as the low dielectric constant materials. The minimum value of (k) is one for air. The highest value of k has been reported for relaxor ferroelectric (k = 24,700 at 1 kHz).
APA, Harvard, Vancouver, ISO, and other styles
4

Wagaye, Gebremedhn Wubet. "Performance Investigation of Coaxial Cable with Transmission Line Parameters Based on Lossy Dielectric Medium." Indonesian Journal of Electrical Engineering and Computer Science 11, no. 2 (August 1, 2018): 424. http://dx.doi.org/10.11591/ijeecs.v11.i2.pp424-428.

Full text
Abstract:
<p>This paper presents the analysis of high performance for coaxial cable with transmission line parameters. The modeling for performance of coaxial cable contains many parameters, in this paper will discuss the more effective parameter is the type of dielectric mediums. This analysis of the performance related to dielectric mediums with respect to dielectric losses and its effect upon cable properties, dielectrics versus characteristic impedance, and the attenuation in the coaxial line for different dielectrics. The analysis depends on a simple mathematical model for coaxial cables to test the influence of the insulators (Dielectrics) performance.</p>
APA, Harvard, Vancouver, ISO, and other styles
5

Su, Yipin, Xudong Shen, Zinan Zhao, Bin Wu, and Weiqiu Chen. "Electromechanical Deformations and Bifurcations in Soft Dielectrics: A Review." Materials 17, no. 7 (March 26, 2024): 1499. http://dx.doi.org/10.3390/ma17071499.

Full text
Abstract:
Dielectric elastomers have attracted considerable attention both from academia and industry alike over the last two decades due to their superior mechanical properties. In parallel, research on the mechanical properties of dielectrics has been steadily advancing, including the theoretical, experimental, and numerical aspects. It has been recognized that the electromechanical coupling property of dielectric materials can be utilized to drive deformations in functional devices in a more controllable and intelligent manner. This paper reviews recent advances in the theory of dielectrics, with specific attention focused on the theory proposed by Dorfmann and Ogden. Additionally, we provide examples illustrating the application of this theory to analyze the electromechanical deformations and the associated bifurcations in soft dielectrics. We compared the bifurcations in elastic and dielectric materials and found that only compressive bifurcation modes exist in elastic structures, whereas both compressive and tensile modes coexist in dielectric structures. We summarize two proposed ways to suppress and prevent the tensile bifurcations in dielectric materials. We hope that this literature survey will foster further advancements in the field of the electroelastic theory of soft dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
6

Wallace, Robert M., and Glen Wilk. "Alternative Gate Dielectrics for Microelectronics." MRS Bulletin 27, no. 3 (March 2002): 186–91. http://dx.doi.org/10.1557/mrs2002.70.

Full text
Abstract:
AbstractThis brief article sets the context for the March 2002 issue of MRS Bulletin focusing on Alternative Gate Dielectrics for Microelectronics. Contributors are several experts from industry and academia engaged in the search for manufacturable solutions for a suitable alternative gate dielectric to SiO2 using high-dielectric-constant (high-ĸ) materials. Issues discussed in the articles include thermodynamics criteria for materials selection, materials interactions in the construction of the transistor gate stack, characterization of alternative materials, determination of suitable band offsets for candidate dielectrics, and integration of these alternative gate dielectrics in a manufacturable environment.
APA, Harvard, Vancouver, ISO, and other styles
7

Chi, Xiaohong, Wenfeng Liu, Shengtao Li, and Xiaohong Zhang. "The Effect of Humidity on Dielectric Properties of PP-Based Nano-Dielectric." Materials 12, no. 9 (April 28, 2019): 1378. http://dx.doi.org/10.3390/ma12091378.

Full text
Abstract:
Nano-dielectrics are sensitive to humidity and easily degraded in damp environment because of the high surface energy of nanoparticles. In order to study the effect of humidity on the dielectric properties of nano-dielectric, polypropylene (PP) was modified by polyolefin elastomer (POE) and nano-SiO2, and the samples with obvious filling concentration were pre-selected by breakdown strength for damp aging. The aging experiments were carried out in different relative humidity. The dielectric properties of new, hygroscopic saturation and samples after drying were measured and analyzed. It is found that the breakdown strength of hygroscopic saturation nano-dielectrics decreased obviously compared with new samples, and it was difficult to recover after drying. The damp degradation resulted in different changing trends of permittivity of PP and nano-dielectric, but there were relaxation loss peaks of water in both of them. The influence of damp degradation on the trap distribution was studied by thermally stimulated depolarization currents (TSDC), and it was found that the traps level introduced by water molecules was different in PP and nano-dielectrics. All experiment results showed that the performance of nano-dielectrics degraded obviously in humid environment, and it was difficult to recover even after complete drying because of the existence of bounded water molecules in nano-dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
8

Huang, Jing-Kai, Yi Wan, Junjie Shi, Ji Zhang, Ya-Ping Chiu, Sean Li, and Lain-Jong Li. "(Invited, Digital Presentation) Heterogeneous Integration of Ultrahigh-Κ Single-Crystalline SrTiO3 Membranes for Two-Dimensional Electronics." ECS Meeting Abstracts MA2022-02, no. 36 (October 9, 2022): 1315. http://dx.doi.org/10.1149/ma2022-02361315mtgabs.

Full text
Abstract:
Even though competitive 2D field-effect transistors (FETs) with the scaled channel dimensions have been demonstrated, it still is a challenge to integrate 2D semiconductors and high-κ dielectrics without deteriorating their interfaces, while decreasing capacitance equivalent thickness (CET) of dielectrics to maintain the gate controllability. In particular, the dielectric/channel interface is one of the predominant factors to affect device performance, including carrier mobility, switching behavior, and drifts of device parameters. This is often caused by the nature of dielectrics used, and the integrating methodologies applied. Typically, high-κ dielectrics used in silicon technology (i.e., Al2O3 and HfO2) are inherited by 2D FETs. The amorphous nature of these oxides makes the elimination of charge scattering and trapping sites at the dielectric/channel interfaces extremely difficult, not to mention that the direct deposition of dielectrics usually damages the 2D channel and results in poor uniformity in sheer thickness. Some interfacial passivation layers and processes have been developed, but they lead to thickening overall CET instead. Alternative approaches with the crystalline dielectric materials such as multilayer hBN and epitaxial calcium fluoride (CaF2), whose surfaces are well-constructed and atomic-flat, have demonstrated the competitive advantages of crystalline dielectric over the conventional amorphous oxides in spite of relatively lower κ values. Here, we demonstrate ultra-scaled 2D FETs with desirable sub-1 nm CET through heterogeneous integration of monolayer CVD MoS2 and quasi-2D single-crystalline SrTiO3 membranes, where the optimized SrTiO3 gate dielectrics exhibit a low gate leakage (J leak < 10-2 A/cm2 at 2.5 MV/cm). Typical transistors manifest good reliability and competitive performance characteristics, including steep subthreshold swings (SS) down to ~70 mV dec-1 and ON/OFF current ratios up to 107, matching low-power specifications suggested by the latest International Roadmap for Devices and Systems (IRDS). In addition, the van der Waals (vdW) interface between quasi-2D dielectrics and 2D semiconductors moderates the unfavorable fringing-induced barrier lowering (FIBL) effect occurring in ultra-scaled Si transistors with very high-κ dielectrics, which broadens the dielectric selection in 2D electronics for future technology node.
APA, Harvard, Vancouver, ISO, and other styles
9

Choi, Junhwan, and Hocheon Yoo. "Combination of Polymer Gate Dielectric and Two-Dimensional Semiconductor for Emerging Field-Effect Transistors." Polymers 15, no. 6 (March 10, 2023): 1395. http://dx.doi.org/10.3390/polym15061395.

Full text
Abstract:
Two-dimensional (2D) materials are considered attractive semiconducting layers for emerging field-effect transistors owing to their unique electronic and optoelectronic properties. Polymers have been utilized in combination with 2D semiconductors as gate dielectric layers in field-effect transistors (FETs). Despite their distinctive advantages, the applicability of polymer gate dielectric materials for 2D semiconductor FETs has rarely been discussed in a comprehensive manner. Therefore, this paper reviews recent progress relating to 2D semiconductor FETs based on a wide range of polymeric gate dielectric materials, including (1) solution-based polymer dielectrics, (2) vacuum-deposited polymer dielectrics, (3) ferroelectric polymers, and (4) ion gels. Exploiting appropriate materials and corresponding processes, polymer gate dielectrics have enhanced the performance of 2D semiconductor FETs and enabled the development of versatile device structures in energy-efficient ways. Furthermore, FET-based functional electronic devices, such as flash memory devices, photodetectors, ferroelectric memory devices, and flexible electronics, are highlighted in this review. This paper also outlines challenges and opportunities in order to help develop high-performance FETs based on 2D semiconductors and polymer gate dielectrics and realize their practical applications.
APA, Harvard, Vancouver, ISO, and other styles
10

Biju, Anjitha, Maria Joseph, V. N. Archana, Navya Joseph, and M. R. Anantharaman. "High Dielectric Constant Liquid Dielectrics Based on Magnetic Nanofluids." Journal of Nanofluids 12, no. 4 (May 1, 2023): 1141–50. http://dx.doi.org/10.1166/jon.2023.1973.

Full text
Abstract:
Magnetic nanofluids are increasingly finding new applications. They can be employed as liquid dielectrics. The advantage of having a liquid dielectric is that high dielectric constant can be achieved by a judicious choice of the base liquid. The dielectric constant can be tuned with the help of an external magnetic field too. Superparamagnetic iron oxide nanoparticles were dispersed in polar carriers, namely water, polyvinyl alcohol, ethylene glycol, and a nonpolar carrier like kerosene to obtain stable magnetic fluids after ensuring the crystallographic phase purity along with appropriate magnetic characteristics of the dispersant. The fluids were then subjected to dielectric studies using an automated homemade dielectric setup. The dielectric permittivity and dielectric loss at different frequencies with and without an external magnetic field were evaluated. The studies indicate that magnetic nanofluids based on polar carriers are excellent liquid dielectrics over a wide range of frequencies with the incorporation of iron oxide nanoparticles. The application of an external magnetic field enhances the dielectric constant considerably. These magnetic nanofluids can be employed as liquid dielectrics for applications. It has been found that kerosene based magneto fluids have a low dielectric constant while Polyvinyl alcohol based fluids exhibit the highest dielectric constant.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Dielectrics"

1

Fromille, Samuel S. IV. "Novel Concept for High Dielectric Constant Composite Electrolyte Dielectrics." Thesis, Monterey, California. Naval Postgraduate School, 2013. http://hdl.handle.net/10945/53408.

Full text
Abstract:
Approved for public release
This research was part of an ongoing program studying the concept of multi-material dielectrics (MMD) with dielectric constants much higher than homogenous materials. MMD described in this study have dielectric constants six orders of magnitude greater than the best single materials. This is achieved by mixing conductive particles with an insulating surface layer into a composite matrix phase composed of high surface area ceramic powder and aqueous electrolyte. Specifically examined in this study was micron-scale nickel powder treated in hydrogen peroxide (H2O2) loaded into high surface area alumina powder and aqueous boric acid solution. This new class of dielectric, composite electrolyte dielectrics (CED), is employed in an electrostatic capacitor configuration and demonstrated dielectric constant of order 10 [raised to the 10th power] at approximately 1 Volt. Additionally, it is demonstrated that treated nickel can be loaded in high volume fractions in the CED configuration. Prior studies of composite capacitors indicated a general limitation due to shorting. This results from the onset of percolation due to excess loading of conductive phases. Insulated particles described herein are successfully loaded up to 40% by volume, far above typical percolation thresholds. Simple models are presented to explain results.
Lieutenant, United States Navy
APA, Harvard, Vancouver, ISO, and other styles
2

Grove, Nicole R. "Characterization of functionalized polynorbornenes as interlevel dielectrics." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/11204.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Balu, Venkatasubramani. "Barium strontium titanate thin film capacitors for high-density memories /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Hu, Chuan. "Study of the thermal properties of low k dielectric thin films /." Full text (PDF) from UMI/Dissertation Abstracts International, 2000. http://wwwlib.umi.com/cr/utexas/fullcit?p9992820.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Duong, Danny. "The complex dielectric properties of aqueous ammonia from 2 GHz - 8.5 GHz in support of the NASA Juno mission." Thesis, Georgia Institute of Technology, 2011. http://hdl.handle.net/1853/42891.

Full text
Abstract:
A new model for the complex dielectric constant, ε, of aqueous ammonia (NH4OH) has been developed based on laboratory measurements in the frequency range between 2-8.5 GHz for ammonia concentrations of 0-8.5 %NH3/volume and temperatures between 277-297 K. The new model has been validated for temperatures up to 313 K, but may be consistently extrapolated up to 475 K and ammonia concentrations up to 20 %NH3/volume. The model fits 60.26 % of all laboratory measurements within 2σ uncertainty. The new model is identical to the Meissner and Wentz (2004) model of the complex dielectric constant of pure water, but it contains a correction for dissolved ammonia. A description of the experimental setups, uncertainties associated with the laboratory measurements, the model fitting process, the new model, and its application to approximating jovian cloud opacity for NASA's Juno mission to Jupiter are provided.
APA, Harvard, Vancouver, ISO, and other styles
6

Cicerrella, Elizabeth. "Dielectric functions and optical bandgaps of high-K dielectrics by far ultraviolet spectroscopic ellipsometry /." Full text open access at:, 2006. http://content.ohsu.edu/u?/etd,2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Cho, Taiheui. "Anisotropy of low dielectric constant materials and reliability of Cu/low-k interconnects /." Digital version accessible at:, 2000. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Ahchawarattaworn, Jutharat. "Perovskite oxynitride dielectrics." Thesis, University of Newcastle Upon Tyne, 2011. http://hdl.handle.net/10443/1186.

Full text
Abstract:
The synthesis, crystal structures and dielectric properties of perovskite oxynitrides of the type LnTiO2N (Ln = La, Nd and mixtures) and ATaO2N (A = Ca, Sr, Ba and mixtures), have been investigated. The end-member oxynitrides and their associated LaxNd1-xTiO2N, CaxSr1-xTaO2N and BaxSr1-xTaO2N solid solutions were successfully prepared by ammonolysis of the appropriate precursor oxides at temperatures in the range 900-1200ºC. The complete range of LaxNd1-xTiO2N solid solution are orthorhombic perovskites, which show a small increase in unit cell parameters with increasing La content across the range. Compositions in the CaxSr1-xTaO2N series are tetragonal for x < 0.6 and orthorhombic for x > 0.6. BaxSr1-xTaO2N solid solutions are tetragonal for x < 0.5 and cubic for x > 0.5. It was impossible to form any perovskite solid solution in the BaTaO2N-CaTaO2N series. Attempts were made to densify these oxynitrides by hot-pressing and spark plasma sintering, but a characteristic of all these oxynitride structures is that they are stable up to relatively low temperatures (1250oC) with decomposition occurring before any sintering can be achieved. A reducing environment is necessary to prevent oxidation, but this must not be too reducing to promote conversion of the transition metal into a lower oxidation state. As a result, fully dense samples were not obtained and dielectric property measurements could not be made on these samples. As an alternative, pure single phase LaTiO2N, NdTiO2N and LaxNd1-xTiO2N solid solutions were prepared as » 3 μm thick surface layers on dense pellets of the corresponding La2Ti2O7, Nd2Ti2O7 and (LaxNd1-x)2Ti2O7) oxides by ammonolysis. The bulk dielectric properties of these VI coated samples were then measured by LCR bridge techniques. The presence of an oxynitride layer significantly increased the measured dielectric constant of all samples, compared with the pure oxides, but a significantly higher dielectric loss was also observed. This lossy behaviour is believed to be due to the presence of a more conductive region of reduced La2Ti2O7 (of typical composition 2 2 7 La TiIV TiIIIO -x x -z ) situated immediately below the oxynitride layer, produced during synthesis by the presence of hydrogen in the nitriding ammonia atmosphere. The dielectric constant of all these oxynitrides was also measured in particulate form by impedance analysis of slurries and the dielectric constant calculated by a method of mixtures. Compared with the parent oxides, the dielectric constants were noticeably larger, ranging from 100-1500. These values are in reasonable agreement with the limited amount of data available in the literature, and show that this group of materials merits further exploration, providing easier synthesis routes can be developed, which also result in low loss final materials being obtained.
APA, Harvard, Vancouver, ISO, and other styles
9

Saura, Mas Xavier. "Filamentos conductores de ruptura dieléctrica en aislantes delgados." Doctoral thesis, Universitat Autònoma de Barcelona, 2014. http://hdl.handle.net/10803/285732.

Full text
Abstract:
La industria micro y nanoelectrónica requiere de múltiples líneas de investigación para la introducción de continuas mejoras en los dispositivos en términos de rendimiento, funcionalidad y escalabilidad. Una de estas mejoras se centra en la idea de utilizar el fenómeno de la ruptura dieléctrica como principio de operación de dispositivos electrónicos. Esta idea ha generado mucho interés recientemente, especialmente en el campo de las memorias no volátiles. Así, la investigación realizada a lo largo de esta tesis doctoral gira en torno a la ruptura dieléctrica de óxidos de alta permitividad y la posterior conducción filamentaria observada en capacidades metal-aislante-semiconductor (MOS) y metal-aislante-metal (MIM). En concreto, este trabajo se ha centrado en el estudio de tres principales objetivos que han concluido con la publicación de varios artículos, los cuales han permitido presentar esta tesis como compendio de publicaciones. Por un lado, se muestran los resultados del estudio realizado en relación con el fenómeno de conmutación resistiva observado en capacidades MOS, poniendo especial interés en el fenómeno de Threshold Switching el cual ha sido analizado en términos del modelo de contacto puntual cuántico. Por otro lado, se describen los resultados obtenidos en relación con el estudio y exploración del efecto de campo sobre caminos de ruptura dieléctrica generados en estructuras MIM planares. Para ello se ha realizado el diseño, simulación, fabricación y caracterización de varios dispositivos específicos cuyas dimensiones críticas son del orden de pocos nanómetros. De la caracterización de estas estructuras se han obtenido resultados que muestran indicios del efecto de campo sobre dichos caminos. Por último, se analiza la estadística espacial y temporal de múltiples caminos de ruptura observados en el electrodo superior de capacidades MOS y MIM obtenidos a partir del estrés eléctrico aplicado sobre las mismas. En este sentido, se han desarrollado tres métodos de análisis de distribuciones estadísticas para detectar posibles desviaciones respecto a un proceso aleatorio espacial completo: el primero basado en las distancias entre filamentos vecinos de orden k; el segundo relacionado con la caracterización espacio-temporal de los filamentos; y por último un método en el que se han desarrollado expresiones para el estudio de las distribuciones estadísticas de las distancias y ángulos de los spots en relación a un punto fijo asociado a la punta de inyección de carga utilizada para la generación de los eventos.
Micro and nanoelectronics industry requires multiple lines of research for introducing continuous improvements in electronic devices in terms of performance, functionality and scalability. One of these improvements focuses on the idea of using the dielectric breakdown phenomenon as a principle of operation of these devices. This idea has generated much interest recently, especially in the field of non-volatile memories. Thus, the research done in this thesis focuses its attention around the dielectric breakdown phenomena and the subsequent filamentary conduction observed in metal-oxide-semiconductor (MOS) and metal-insulator-metal (MIM) devices with high dielectric permittivity. Specifically, this work focuses on the study of three main objectives which have resulted in the publication of several articles and this has allowed presenting the thesis as a compendium of publications. The study shows results in relation to the resistive switching phenomenon observed in MOS devices, with particular interest in the phenomenon of Threshold Switching described in terms of the quantum point contact model. Furthermore, results regarding the study of the field-effect on dielectric breakdown paths generated in planar MIM structures are also described. With this goal, it is shown the design, simulation, fabrication and characterization of several devices whose critical dimensions are in the order of a few nanometers. The characterization of these structures shows preliminary results that point in the direction of the expected field effect. Finally, the spatial and temporal statistics of multiple breakdown paths, observed in the top electrode of MOS and MIM capacitors as a result of the applied electrical stress, is analyzed. Three methods were developed to analyze statistical distributions for detecting possible deviations from a complete spatial random process. One is based on the distances between neighboring filaments of order k; the second one concerns the spatio-temporal characterization of the observed filaments; and finally a method is presented, in which expressions have been developed, for the study of the statistical distributions of the distances and angles of the spots relative to a fixed point, which is associated with the charge injection point used in the generation of events.
APA, Harvard, Vancouver, ISO, and other styles
10

Cousins, Jesse. "Simulation of the Variability in Microelectronic Capacitors having Polycrystalline Dielectrics with Columnar Microstructure." Fogler Library, University of Maine, 2003. http://www.library.umaine.edu/theses/pdf/CousinsJL2003.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Dielectrics"

1

Fröhlich, H. Theory of dielectrics: Dielectrics constant and dielectric loss. 2nd ed. Oxford: Clarendon Press, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Fröhlich, H. Theory of dielectrics: Dielectric constant and dielectric loss. 2nd ed. Oxford: Clarendon, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Juan, Martinez-Vega, ed. Dielectric materials for electric engineering. London, U.K: ISTE, 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

International Symposium on Science and Technology of Dielectrics in Emerging Fields (1st 2003 Paris, France). Dielectrics in emerging technologies: Proceedings of the international symposium. Edited by Misra D, Wörhoff K, Mascher P, Electrochemical Society. Dielectric Science and Technology Division., and Electrochemical Society Electronics Division. Pennington, NJ: Electrochemical Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

M, Nair K., American Ceramic Society Meeting, and Advances in Dielectric Materials and Multilayer Electronic Devices Symposium (2000 : St. Louis, Missouri)., eds. Dielectric materials and devices. Westerville, Ohio: American Ceramic Society, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Darko, Kajfez, and Guillon Pierre, eds. Dielectric resonators. 2nd ed. Atlanta, GA: Noble Publishing, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Kacprzyk, Ryszard. Wybrane zagadnienia badań ładunku i jego zaniku w dielektrykach stałych. Wrocław: Oficyna Wydawn. Politechniki Wrocławskiej, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Christophorou, Loucas G., and James K. Olthoff, eds. Gaseous Dielectrics IX. Boston, MA: Springer US, 2001. http://dx.doi.org/10.1007/978-1-4615-0583-9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Christophorou, Loucas G., and David R. James, eds. Gaseous Dielectrics VII. Boston, MA: Springer US, 1994. http://dx.doi.org/10.1007/978-1-4899-1295-4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Christophorou, Loucas G., and Isidor Sauers, eds. Gaseous Dielectrics VI. Boston, MA: Springer US, 1991. http://dx.doi.org/10.1007/978-1-4615-3706-9.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Dielectrics"

1

Bird, John. "Dielectrics and dielectric loss." In Bird's Electrical Circuit Theory and Technology, 688–94. 7th ed. London: Routledge, 2021. http://dx.doi.org/10.1201/9781003130338-46.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Bettini, Alessandro. "Dielectrics." In Undergraduate Lecture Notes in Physics, 113–46. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-40871-2_4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Sirdeshmukh, Dinker B., Lalitha Sirdeshmukh, and K. G. Subhadra. "Dielectrics." In Atomistic Properties of Solids, 373–404. Berlin, Heidelberg: Springer Berlin Heidelberg, 2011. http://dx.doi.org/10.1007/978-3-642-19971-4_11.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

de Oliveira, Mário J. "Dielectrics." In Equilibrium Thermodynamics, 277–95. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-36549-2_15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Warnes, L. A. A. "Dielectrics." In Electronic Materials, 207–26. Boston, MA: Springer US, 1990. http://dx.doi.org/10.1007/978-1-4615-6893-3_8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

de Oliveira, Mário J. "Dielectrics." In Equilibrium Thermodynamics, 295–314. Berlin, Heidelberg: Springer Berlin Heidelberg, 2017. http://dx.doi.org/10.1007/978-3-662-53207-2_15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Warnes, L. A. A. "Dielectrics." In Electronic Materials, 207–26. London: Macmillan Education UK, 1990. http://dx.doi.org/10.1007/978-1-349-21045-9_8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Anderson, J. C., K. D. Leaver, R. D. Rawlings, and J. M. Alexander. "Dielectrics." In Materials Science, 518–49. Boston, MA: Springer US, 1990. http://dx.doi.org/10.1007/978-1-4899-6826-5_17.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Sibley, Martin J. N. "Dielectrics." In Introduction to Electromagnetism, 149–61. 2nd ed. Second edition. | Boca Raton : CRC Press, 2021.: CRC Press, 2021. http://dx.doi.org/10.1201/9780367462703-6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Hillery, M. "Nonlinear Dielectrics." In Quantum Squeezing, 33–51. Berlin, Heidelberg: Springer Berlin Heidelberg, 2004. http://dx.doi.org/10.1007/978-3-662-09645-1_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Dielectrics"

1

Li, Shengtao, and Yang Feng. "High Dielectric and Energy Storage Polymer Dielectrics." In 2021 IEEE International Conference on the Properties and Applications of Dielectric Materials (ICPADM). IEEE, 2021. http://dx.doi.org/10.1109/icpadm49635.2021.9493998.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Rajić, Tomislav, Koviljka Stanković, Đorđe Čubrić, and Kovica Bibić. "MERENJE FAKTORA DIELEKTRIČNIH GUBITAKA DIELEKTRIKA." In 35. Savetovanje Srpskog nacionalnog komiteta Međunarodnog saveta za velike električne mreže. Srpski nacionalni komitet Međunarodnog saveta za velike električne mreže CIGRE Srbija, 2023. http://dx.doi.org/10.46793/cigre35.1155r.

Full text
Abstract:
Dielectrics are an important group of materials from the aspect of electric power systems. They have the task of separating highvoltage parts from grounding system. Also, it is necessary to separate the parts that are at different potentials. Over time, during operation, due to weather or other factors, such as low / high temperature, humidity, etc. there are changes in the dielectric properties of the material. This weakens the characteristics and dielectric breakdown can occur. A breakdown can also occur due to the increased value of the voltage at the ends of the dielectric. This also increases the concentration of conductive carriers within the dielectric itself which leads to breakdown. The main characteristic of dielectrics is their tendency to polarize under the action of an electric field, which distinguishes them from conductors. The most important electrical parameters of the dielectric are: relative dielectric constant, dielectric loss factor, specific internal and specific surface electrical resistance, as well as dielectric strength. In this paper, the emphasis is placed only on the dielectric loss factor. Due to the importance of dielectrics, there is a tendency to constantly check their electrical parameters. Control is necessary, both during the installation itself, and periodically or after the accident. The dielectric loss factor is an important indicator of the state of the dielectric itself and its ability to perform its basic function in the power system. The paper reviews the method of measuring the dielectric loss factor in current measuring transformers. Measurement of dielectric loss factors is applied only to transformers with a primary winding immersed in liquid insulation. The most commonly used methods are the Schering or Glin Bridge
APA, Harvard, Vancouver, ISO, and other styles
3

Alford, N. M. "Microwave dielectrics." In IEE Colloquium on Electro-Technical Ceramics - Processing, Properties and Applications. IEE, 1997. http://dx.doi.org/10.1049/ic:19971054.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Wu, Ernest Y., and Jordi Sune. "Recent advances in dielectric breakdown of modern gate dielectrics." In 2013 IEEE International Integrated Reliability Workshop (IIRW). IEEE, 2013. http://dx.doi.org/10.1109/iirw.2013.6804141.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Cerchiara, R. R., H. A. Cook, P. E. Fischione, J. J. Gronsky, J. M. Matesa, A. C. Robins, D. W. Smith, et al. "Automated Sample Preparation of Low-k Dielectrics for FESEM." In ISTFA 2005. ASM International, 2005. http://dx.doi.org/10.31399/asm.cp.istfa2005p0231.

Full text
Abstract:
Abstract The SiLK resins, composed of aromatic hydrocarbons, are a family of highly cross-linked thermoset polymers with isotropic dielectric properties. Patterning of SiLK for high aspect ratio copper interconnects has depended on reactive ion etching with oxygen/nitrogen gas mixtures. Reactive ion etching is therefore also accomplished with reducing plasmas such as nitrogen/hydrogen. An additional plasma cleaning step can be inserted after the reactive ion etching (RIE) step, so that any residual contamination is removed prior to imaging or final sputter coating. Automated sample preparation of microelectronic materials containing high and low-k dielectrics for FESEM is accomplished in this article by combining these techniques: plasma cleaning, ion beam etching, and reactive ion etching. A single RIE chemistry was effective in etching both dielectrics as well as delineating the other phases present.
APA, Harvard, Vancouver, ISO, and other styles
6

Singh, Nirmal Kumar, Rajesh Sahoo, and Vivek Bajpai. "Operational Feasibility of Maglev EDM Using Different Non-Conductive Powder Mixed Dielectric for Machining Inconel 625 Alloy." In ASME 2023 18th International Manufacturing Science and Engineering Conference. American Society of Mechanical Engineers, 2023. http://dx.doi.org/10.1115/msec2023-104659.

Full text
Abstract:
Abstract Currently, powder mixed dielectric application in electro-discharge machining (EDM) is an emerging field to enhance process efficiency and achieve better surface quality along with surface modification. The current investigation utilizes two non-conductive powder mixed dielectrics i.e., urea mixed distilled water and silica mixed distilled water for feasibility analysis by machining Inconel 625 alloy in Maglev EDM. The study emphasizes on the effect of both the dielectrics on material removal rate (MRR), tool wear rate (TWR) and surface roughness (Ra) achieved during Maglev EDM operation. The investigation has been performed using a cylindrical brass tool at discharge voltage (26V) and discharge current (200mA) for both dielectrics. The voltage-current (V-I) waveform acquired during machining operation illustrates better stability and consistency in discharge. The surface topology of the processed workpiece have been inspected using 3D surface profiles and scanning electron microscopy (SEM) for both the dielectric conditions to analyze the presence of surface anomalies and debris particles. The elemental analysis of machined surface examines the surface alloying phenomena due to material migration effect. Maximum MRR (961.5 μg/min) and lower Ra (4.71 μm) were observed using urea mixed dielectric condition, and low TWR (144 μg/min) was achieved using silica powder mixed condition.
APA, Harvard, Vancouver, ISO, and other styles
7

Shen, Y. L. "Modeling of Thermo-Mechanical Stresses in Copper Interconnect/Low-k Dielectric Systems." In ASME 2005 Pacific Rim Technical Conference and Exhibition on Integration and Packaging of MEMS, NEMS, and Electronic Systems collocated with the ASME 2005 Heat Transfer Summer Conference. ASMEDC, 2005. http://dx.doi.org/10.1115/ipack2005-73450.

Full text
Abstract:
Systematic finite element analyses are carried out to model the thermomechanical stresses in on-chip copper interconnect systems. Constitutive behavior of encapsulated copper films, determined by experimentally measuring the stress-temperature response during thermal cycling, is used in the model for predicting stresses in copper interconnect/low-k dielectric structures. Various combinations of oxide and polymer-based low-k dielectric schemes are considered. The evolution of stresses and deformation pattern in the dual-damascene copper, barrier layers, and the dielectrics is seen to have direct connections to the structural integrity of contemporary and future-generation devices. In particular, stresses experienced by the thin barrier layers and the mechanically weak low-k dielectrics are critically assessed. A parametric analysis on the influence of low-k material properties is also conducted. Practical implications in reliability issues such as voiding, interface fracture, electromigration and dielectric failure are discussed.
APA, Harvard, Vancouver, ISO, and other styles
8

Shin, SangHoon, Yen-Pu Chen, Woojin Ahn, Honglin Guo, Byron Williams, Jeff West, Tom Bonifield, Dhanoop Varghese, Srikanth Krishnan, and Muhammad A. Alam. "High voltage time-dependent dielectric breakdown in stacked intermetal dielectrics." In 2018 IEEE International Reliability Physics Symposium (IRPS). IEEE, 2018. http://dx.doi.org/10.1109/irps.2018.8353669.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Xu, Hairu, Yewen Zhang, and Feihu Zheng. "Study on measuring method of dielectric spectroscopy for polymer dielectrics." In 2009 IEEE 9th International Conference on the Properties and Applications of Dielectric Materials (ICPADM). IEEE, 2009. http://dx.doi.org/10.1109/icpadm.2009.5252247.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Prosandeev, S. "Dielectric Response in Microscopically Heterogeneous Dielectrics: Example of KTaO3:Nb." In FUNDAMENTAL PHYSICS OF FERROELECTRICS 2002. AIP, 2002. http://dx.doi.org/10.1063/1.1499565.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Dielectrics"

1

van Dover, Robert Bruce. Complex Amorphous Dielectrics. Office of Scientific and Technical Information (OSTI), November 2014. http://dx.doi.org/10.2172/1164295.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lohrmann, Dieter R., David Ma, and David Wu. On Energy Density in Dielectrics. Fort Belvoir, VA: Defense Technical Information Center, August 1998. http://dx.doi.org/10.21236/ada351834.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Ren, F., C. R. Abernathy, and J. D. MacKenzie. Dielectrics for GaN based MIS-diodes. Office of Scientific and Technical Information (OSTI), February 1998. http://dx.doi.org/10.2172/634115.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Cooke, Chathan M. Space-Charge-Induced Breakdown in Dielectrics. Fort Belvoir, VA: Defense Technical Information Center, January 1986. http://dx.doi.org/10.21236/ada176969.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Tuttle, B. A., J. A. Voigt, D. L. Sipola, W. R. Olson, and D. M. Goy. Chemically prepared lead magnesium niobate dielectrics. Office of Scientific and Technical Information (OSTI), November 1998. http://dx.doi.org/10.2172/666017.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Lawless, W. N. Research on High-Specific-Heat Dielectrics. Fort Belvoir, VA: Defense Technical Information Center, January 1990. http://dx.doi.org/10.21236/ada221215.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Bacon, Larry Donald. Calculations of precursor propagation in dispersive dielectrics. Office of Scientific and Technical Information (OSTI), August 2003. http://dx.doi.org/10.2172/918350.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Skvarenina, T. L. An Introduction to Electrical Breakdown in Dielectrics. Fort Belvoir, VA: Defense Technical Information Center, April 1985. http://dx.doi.org/10.21236/ada156465.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Wu, Richard L., and Kevin R. Bray. High Energy Density Dielectrics for Pulsed Power Applications. Fort Belvoir, VA: Defense Technical Information Center, September 2008. http://dx.doi.org/10.21236/ada494790.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Williamson, Kenneth, Sean Simpson, Rebecca Coats, Roy Jorgenson, Harold Hjalmarson, and Michael Pasik. High-voltage atmospheric breakdown across intervening rutile dielectrics. Office of Scientific and Technical Information (OSTI), September 2013. http://dx.doi.org/10.2172/1096248.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography