Dissertations / Theses on the topic 'Dielectric thin layer'

To see the other types of publications on this topic, follow the link: Dielectric thin layer.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Dielectric thin layer.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

STRICKER, JEFFERY T. "ORGANIC ELECTRONIC DEVICES USING CROSSLINKED POLYELECTROLYTE MULTILAYERS AS AN ULTRA-THIN DIELECTRIC MATERIAL." University of Cincinnati / OhioLINK, 2006. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1157640369.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lemenager, Maxime. "Atomic Layer Deposition of thin dielectric films for high density and high reliability integrated capacitors." Thesis, Lyon, 2019. http://www.theses.fr/2019LYSEI085.

Full text
Abstract:
Le stockage d’énergie dans les systèmes embarqués fait toujours l’objet d’importants efforts de R&D car il nécessite une constante diminution du volume occupé par les composants électroniques. Il apparaît que la taille des composants discrets que sont les condensateurs est un des freins à la miniaturisation des dispositifs finaux. Bien que des technologies, principalement basées sur la gravure profonde du silicium à l’échelle micrométrique, aient permis des avancées considérables, elles se montrent dorénavant limitées en termes de densité d’intégration. De ce fait, Murata IPS a développé une nouvelle technologie 3D à l’échelle nanométrique permettant une plus forte surface développée. L’utilisation d’une telle matrice requiert une méthode de dépôt de l’empilement MIM telle que l’ALD, adaptée aux structures à fort rapport d’aspect. Le but de cette thèse est ainsi l’intégration de la structure MIM dans la nouvelle matrice 3D dans le respect des contraintes inhérentes à l’industrie de manière à donner lieu à la cinquième génération des technologies PICS™. Le premier challenge résidait dans la conformalité des dépôts que nous nous sommes efforcés d’obtenir avec un équipement de production. Cela a permis de démontrer une densité de capacité supérieure à 1µF/mm² en utilisant un film diélectrique d’alumine de 10nm. Il s’avère également que l’intégration des électrodes TiN joue un rôle important sur la structure 3D. En effet, les contraintes ont dû être réduites pour assurer la tenue mécanique de la structure, notamment en jouant sur le pulse NH3. Les interfaces métal-diélectriques ont également fait l’objet d’une étude approfondie où l’influence de l’oxydation du TiN pendant le dépôt diélectrique a pu être mise en évidence et caractérisée électriquement. Cette étude a amené à l’intégration d’un matériau supplémentaire jouant le rôle de barrière aux interfaces, produisant des condensateurs avec une durée de vie supérieure à 10ans dans les conditions d’utilisation visées
Energy storage in embedded systems is still the subject of major R&D efforts as it requires a constant decrease in the volume of electronic components. It appears that the size of the discrete components, such as capacitors, is one of the brakes to the miniaturization of the final devices. Although technologies mainly based on silicon deep etching at the micrometric scale have made considerable progresses, they are now limited in terms of integration density. As a result, Murata IPS is developing a new 3D technology enabling a higher developed surface area. The use of such a matrix requires a MIM stack deposition technique such as ALD which is adapted to high aspect ratios. The aim of this thesis has been thus to integrate the MIM structure into the new 3D matrix while respecting the constraints inherent to the industry in order to give rise to the fifth generation of PICS™ technologies. The first challenge has been the achievement of sufficient step coverage of the films with an industrial equipment. A capacitance density greater than 1µF/mm² using a 10nm alumina film has been demonstrated. It also turns out that the TiN electrodes integration plays an important role on the 3D structure. Indeed, the mechanical stress had to be reduced to ensure the mechanical robustness of the structure, in particular by playing on the NH3 pulse. The metal-dielectric interfaces have also been the subject of an in-depth study where the influence of TiN oxidation during dielectric deposition has been shown and electrically characterized. This study has then led to the integration of an additional barrier material at the interfaces, producing capacitors with a 10-year lifetime under the intended voltage and temperature conditions
APA, Harvard, Vancouver, ISO, and other styles
3

Castillo, Solis Maria De los angeles. "Dielectric resonator antennas and bandwidth enhancement techniques." Thesis, University of Manchester, 2015. https://www.research.manchester.ac.uk/portal/en/theses/dielectric-resonator-antennas-and-bandwidth-enhancement-techniques(44b64ce4-dc73-496a-b656-dc4b9c910291).html.

Full text
Abstract:
In this thesis a technique that is being used in another area of technology to optimize light reception in a photographic camera was also applied to the dielectric resonator antenna. The technique consisting of the use of thin film to couple the media and camera impedances resulted in a dielectric resonator antenna bandwidth enhancement technique. The bandwidth enhancement technique was found when thin film dielectric layer structure was used to couple the dielectric resonator and its feed mechanism. Remarkable good performance was detected with a coplanar waveguide fed cylindrical dielectric resonator antenna which resulted in an improvement to its fractional bandwidth from 7.41% to 50.85%. Extensive experimental work was undertaken in order to explore the extent offered in bandwidth performance by using thin film dielectric layer structure in the dielectric resonator antenna performance. The experimental tasks were designed in order to investigate the influence of the thin film dielectric layer structure in relation to its size, shape, thickness, position and direction. Experimental results were supported with simulation work with the computer simulation technology microwave studio. The pieces of the material used for undertaking this experimental work were manually handcrafted. Four different dielectric resonator antenna designs were used in order to carry out the experimental work including the coplanar waveguide fed cylindrical dielectric resonator antenna. The other three dielectric resonator antennas were implemented using the same microstrip feed mechanism. Improved performance in bandwidth was achieved for all the designs. Optimization of the incoming signal was observed when a piece of thin film dielectric layer structure was placed in position between the feed mechanism and the dielectric resonator antenna. The optimization was observed as an enhancement in both the return loss level and the bandwidth of work. Different unexpected operational modes from were activated, such modes being called perturbed modes. Two different shapes were used in this project. Cylindrical dielectric resonator antenna (ɛr = 37) from a commercial provider and two novel rectangular dielectric resonator antennas. The novel rectangular dielectric resonator antennas were created with the methodology presented in this thesis. The rectangular dielectric resonator antennas were elaborated with transparent ceramic material (ɛr = 7) and TMM10i (ɛr = 9.8) from the Rogers Corporation company. The bandwidth enhancement technique was tested in novel embedded dielectric resonator antennas. A coplanar waveguide fed embedded cylindrical dielectric resonator antenna achieved a maximum bandwidth enhancement of 156.77% around f = 3.79 GHz with a thin film dielectric layer structure modified rectangular piece on one edge. Escalation to dielectric resonator antenna design at millimeter wave frequencies was achieved by using thin film dielectric layer structure bandwidth enhancement technique and a handcrafted printed circuit board millimeter wave feed mechanism. The millimeter wave feed mechanisms were achieved using a low cost alternative technique conceived as part of this project. Millimeter wave dielectric resonator antennas were implemented using thin film dielectric layers structure. The antennas deliver an adequate performance in bandwidth. The work presented in this thesis demonstrates dielectric resonator antenna simpler geometry, simple couple schemes, small size, low profile, light weight, and ease of excitation and orientation. Other parameters have also been investigated covering reduced complexity, high degree of flexibility, ease of fabrication and the use of low cost technology to escalate to millimeter wave frequencies.
APA, Harvard, Vancouver, ISO, and other styles
4

Mahadevegowda, Amoghavarsha. "Processing, microstructure and properties of polymer-based nano-composite dielectrics for capacitor applications." Thesis, University of Oxford, 2014. http://ora.ox.ac.uk/objects/uuid:fb974b13-2ec5-4104-9f80-45d1cb97eb48.

Full text
Abstract:
The processing and properties of novel polymer-based nano-composite (PNC) dielectrics for capacitor applications has been studied. PNCs were fabricated via a vacuum based deposition technique and their micro/nano-structure, chemical and dielectric properties investigated. After process development and optimisation, co-deposited Al and nylon-6 PNCs had a dielectric constant k∼7 at an approximate Al volume fraction of 0.3 that agreed with analytical predictions if it was assumed that the Al transformed to an oxide in-situ and/or after deposition. The significant effect of absorbed water vapour and temperature on PNC dielectric properties was revealed using different types of post-deposition heat treatment. Alternately-deposited PNCs consisting of Al or Ag 2-20 nm layers sandwiched between nylon-6 layers were fabricated in which the overall PNC Al or Ag volume fraction was controlled by varying the nominal Al or Ag layer thickness. Ag layers comprised of discrete nano-islands that produced a nano-capacitor network effect that increased k to ∼11. In the case of Al layers, when the layer thickness was ≥ 5 nm, corresponding to a nominal volume fraction of 0.1, Al (core)-oxide (shell) nanoparticles were formed and the PNC dielectric constant increased to ∼19. The detailed nano-structure of the core-shell particles was studied using various types of transmission electron microscopy (TEM), and the elevations in dielectric constant ascribed to multiple-interface polarisation effects dependent on the formation of the core-shell structure. PNCs based on alternate deposition of Ti sandwiched in nylon-6, and then both Ti and Ag in nylon-6 were also fabricated, with k reaching ∼73 for Ag+Ti/nylon-6 PNCs. As well as Ti-based core (metal)-shell (oxide) particles, the Ag volume fraction was sufficiently high in the 10 nm nylon-6 layers to again form a nano-capacitor network that contributed to the overall device capacitance and effective dielectric constant. Again, various types of high magnification TEM were critical in resolving the Ti-based core-shell structure and its role in high-k behaviour. The vacuum-based alternate deposition technique has been developed to offer ease of operation, reliability, flexibility and applicability to chemically different filler and matrix systems in the fabrication of high-k PNC based capacitors, in which high-k performance relies critically on the formation of core (metal)-shell (oxide) particles in both Al and Ti based systems.
APA, Harvard, Vancouver, ISO, and other styles
5

Aygun, Ozyuzer Gulnur. "Growth And Characterization Of Thin Sio2 And Ta2o5 Dielectric Layers By Nd:yag Laser Oxidation." Phd thesis, METU, 2005. http://etd.lib.metu.edu.tr/upload/3/12605968/index.pdf.

Full text
Abstract:
Our aim was to establish a methodology for laser assisted oxidation of semiconductor and metal surfaces. One advantage of laser oxidation is the fact that radiation is heavily absorbed in a thin surface layer of the sample and the other is its ability for local oxidation. In addition to this, laser beam can be directed into some areas that other processes cannot reach. For these reasons, Nd:YAG pulsed laser working at 1064 nm wavelength is used for the oxidation purposes of Si and Ta films. First, SiO2 layer was obtained for various O2 pressures and laser powers. The thickness, refractive index, structural, dielectric, electrical and optical characteristics of the SiO2 layers have been determined. We have established that there exists an interval of laser power in which the oxidation occurs without surface melting. The oxidation process is controlled by the laser power rather than by the substrate temperature (673 &ndash
748 K). It was found that better film quality is obtained at higher substrate temperatures and laser power greater than 3.36 J/cm2. Second, rf-sputtered Ta films were oxidized by laser, because Ta2O5 appears to be a good promising candidate to replace SiO2 because of its high dielectric constant, high breakdown voltage and relevant small leakage current values. It was found that the substrate temperature is an important parameter to obtain denser layers with reduced amount of suboxides and the most suitable substrate temperature range is around 350 C to 400 C. &
#946
-orthorhombic crystal structure was obtained when the substrate temperature is 350 &ndash
400 C for thinner films (up to 20 &ndash
25 nm) and 300 &ndash
350 C for thicker films (40 nm). The refractive index values of laser grown thin tantalum oxide films were between ~1.9 and 2.2 being close to those of bulk Ta2O5 (2.0 &ndash
2.2). Oxide thicknesses in uniform Gaussian&ndash
like shapes were measured as around the twice of those initial Ta films. Effective dielectric constant values reached ~26 when the substrate temperature was increased from 250 C to around 400 C. It was shown that the leakage current density level decreases with increasing substrate temperature. However, the refractive index values of the films were smaller than those of thermally grown films. Porous structure formed during laser oxidation might be the reason for lower refractive indices and can be improved by post&ndash
oxidation annealing.
APA, Harvard, Vancouver, ISO, and other styles
6

DeSandre, Lewis Francis. "LASER DAMAGE MEASUREMENTS ON ALL-DIELECTRIC NARROW-BAND FILTERS." Thesis, The University of Arizona, 1985. http://hdl.handle.net/10150/275258.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Anders, Jason Christopher. "Thin Film Growth of Dielectric Materials by Pulsed Laser Deposition." Wright State University / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=wright1401681886.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Guerboukha, Mohamed-Amine. "Etude de l'auto-assemblage et des propriétés électroniques de monocouches moléculaires sur germanium." Electronic Thesis or Diss., Aix-Marseille, 2021. http://www.theses.fr/2021AIXM0020.

Full text
Abstract:
Dans le domaine de la microélectronique, en raison de la mobilité intrinsèque élevée de ses porteurs de charge, le Ge apparaît comme un matériau alternatif prometteur pour remplacer le Si dans la prochaine génération de transistors à haute mobilité et à haute fréquence. Cependant, contrairement au dioxyde de silicium, l’oxyde de germanium n’est ni stable ni de bonne qualité. Ainsi la préparation de couches interfaciales permettant de passiver le Ge est nécessaire mais reste à ce jour encore problématique. Une voie prometteuse consiste à utiliser des SAM avec une constante diélectrique élevée. Au cours de ce travail nous nous sommes attachés à réaliser et caractériser de nouvelles SAMs à base d’organothiols greffés sur Ge, présentant une application potentielle comme isolants de grille. Nous avons utilisé des chaînes alkyles et des chromophores push-pull originaux. Nous avons adapté et mis au point la technique de désoxydation/greffage et montré qu’elle donne de meilleurs résultats que le traitement acide généralement utilisé. Cette méthode nous a en effet permis d’obtenir des surfaces de Ge fonctionnalisé moins rugueuses avec des SAMs bien organisées. Les analyses par XPS et FTIR démontrent l’élimination de l’oxyde du Ge. Nous avons mesuré les caractéristiques I-V des différentes SAMs à l'aide de contacts E-GaIn. Avec les PP, nous avons pu diminuer le courant d’un facteur 105 comparé au Ge, et 104 par rapport à l’alkyle. L’analyse statistique des caractéristiques électriques a été menée par spectroscopie de tension de transition, et corrélée avec niveaux moléculaires par IPES pour les niveaux inoccupés, la détermination des niveaux occupés par XPS, et calculs DFT
In the field of microelectronics, due to its high intrinsic carrier mobility, germanium (Ge) is emerging as a promising alternative material to replace silicon in the next generation of high-mobility and high-frequency transistors. However, unlike silicon dioxide, Ge oxide is neither stable nor of good quality. Thus, the preparation of interfacial layers to passivate and isolate Ge is necessary but still problematic. A promising approach is the use of SAMs with a high dielectric constant. In this perspective, during this work we have focused on the preparation and characterization of new SAMs based on organothiols grafted on Ge, exhibiting potential application as grid insulators. We have used hydro- and fluoro-carbonated alkyl chains, and novel bithiophene-based non-charged push-pull chromophores (PP) specially synthesized with the motivation to prepare layers with high dielectric constants by the presence of dipoles. We have adapted and developed the deoxidation/grafting technique in hydro-alcoholic solution and shown that it provides better results than the acid treatment. Indeed, such method has allowed us to obtain less rough functionalized Ge surfaces. XPS and FTIR analyses demonstrate the removal of oxide. We have measured I-V characteristics of the various SAMs using E-GaIn contacts. PP SAMs have allowed to decrease the current by a factor of 105 compared to Ge and of 104 compared to a twelve carbon atoms alkyl SAM. Statistical analyses of the electrical characteristics have been performed using TVS, and correlated with molecular levels, using IPES for probing the unoccupied levels, determination of the valence band occupied levels by XPS, and DFT calculations
APA, Harvard, Vancouver, ISO, and other styles
9

El, Hajjam Khalil. "Ingénierie de jonctions tunnel pour améliorer les performances du transistor mono-électronique métallique." Thèse, Université de Sherbrooke, 2016. http://hdl.handle.net/11143/8508.

Full text
Abstract:
Résumé: Aujourd’hui plusieurs obstacles technologiques et limitations physiques s’opposent à la poursuite de la miniaturisation de la technologie CMOS : courants de fuite, effet de canal court, effet de porteurs chauds et fiabilité des oxydes de grille. Le transistor à un électron (SET) fait partie des composants émergents candidats pour remplacer les transistors CMOS ou pour constituer une technologie complémentaire à celle-ci. Ce travail de thèse traite de l’amélioration des caractéristiques électriques du transistor à un électron en optimisant ses jonctions tunnel. Cette optimisation commence tout d’abord par une étude des modes de conduction à travers la jonction tunnel. Elle se conclut par le développement d’une jonction tunnel optimisée basée sur un empilement de matériaux diélectriques (principalement Al[indice inférieur 2]O[indice inférieur 3], H[florin]O[indice inférieur 2] et TiO[indice inférieur 2]) ayant des propriétés différentes en termes de hauteurs de barrières et de permittivités relatives. Ce manuscrit présente, la formulation des besoins du SET et de ses jonctions tunnel, le développement d’outils de simulation appropriés - basés sur les Matrices de transmission - pour la simulation du courant des jonctions tunnel du SET, l’identification des stratégies d’optimisation de ces dernières, grâce aux simulations et finalement l’étude expérimentale et l’intégration technologique des jonctions tunnel optimisées dans le procédé de fabrication de SET métallique en utilisant la technique de dépôt par couches atomiques (ALD). Ces travaux nous ont permis de prouver l’intérêt majeur de l’ingénierie des jonctions tunnel du SET pour accroitre son courant à l’état passant, réduire son courant de fuite et étendre son fonctionnement à des températures plus élevées.
Abstract: Today, several technological barriers and physical limitations arise against the miniaturization of the CMOS: leakage current, short channel effects, hot carrier effect and the reliability of the gate oxide. The single electron transistor (SET) is one of the emerging components most capable of replacing CMOS technology or provide it with complementary technology. The work of this thesis deals with the improvement of the electrical characteristics of the single electron transistor by optimizing its tunnel junctions. This optimization initially starts with a study of conduction modes through the tunnel junction. It concludes with the development of an optimized tunnel junction based on a stack of dielectric materials (mainly Al[subscript 2]O[subscript 3], H[florin]O[subscript 2] and TiO[subscript 2]), having different properties in terms of barrier heights and relative permittivities. This document, therefore, presents the theoretical formulation of the SET’s requirements and of its tunnel junctions, the development of appropriate simulation tools - based on the transmission matrix model- for the simulation of the SET tunnel junctions current, the identification of tunnel junctions optimization strategies from the simulations results and finally the experimental study and technological integration of the optimized tunnel junctions into the metallic SET fabrication process using the atomic layer deposition (ALD) technique. This work allowed to démonstrate the significance of SET tunnel junctions engineering in order to increase its operating current while reducing leakage and improving its operation at higher temperatures.
APA, Harvard, Vancouver, ISO, and other styles
10

Talisa, Noah Brodzik. "Laser-Induced Damage and Ablation of Dielectrics with Few-Cycle Laser Pulses." The Ohio State University, 2020. http://rave.ohiolink.edu/etdc/view?acc_num=osu1609243476481238.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Kenaan, Ahmad. "Lipid layers as ultra-thin dielectric for highly sensitive ions field effect transistor sensors." Thesis, Aix-Marseille, 2016. http://www.theses.fr/2016AIXM4002/document.

Full text
Abstract:
Cette thèse vise à développer un capteur d’ions cuivre dans des échantillons humains tels que le plasma ou les urines où l’accumulation des ions induit la maladie de Wilson. Le manque d’outil de diagnostic efficace et non invasif rend cette maladie traitable, potentiellement fatale. Notre capteur, basé sur la technologie des transistors à effet de champ de type metal-oxide-semiconducteur, a l’originalité d’utiliser une monocouche de lipide de type DC8,9PC de 2.4 nm d’épaisseur comme diélectrique de grille. Nous démontrons dans cette thèse que ces lipides peuvent être chimiquement modifiés en de monocouches, à stabilité mécanique et électrique élevée, transformées en sondes spécifiques par greffage sur le groupement de tête des lipides d’une fonction chélatante spécifique aux ions cuivre. La monocouche lipidique est formée à la surface du canal semiconducteur du transistor par fusion vésiculaire et est stabilisée par réticulation des lipides suivant un protocole que nous avons développé. Dans une première partie, nous décrivons la fabrication du transistor ainsi que l’ingénierie chimique des lipides avec le chélateur. Des mesures, en solutions aqueuses contenant des ions cuivre et d’autres ions potentiellement compétiteurs, ont validé la sensibilité et la spécificité du capteur. La deuxième partie est dédiée à l’optimisation des monocouches en tant qu’isolants électriques stables. Nous introduisons dans cette thèse la notion de double polymérisation des lipides dans la monocouche avec réticulation des chaînes aliphatiques et des groupements de tête. Nous démontrons que celle-ci conduit à l’amélioration drastique des propriétés mécaniques et électriques des monocouches
This thesis aims at developing a sensor for the detection of Cu2+ in human samples such as urine. Copper is an ion of pathological interest in the body and its accumulation in tissues is responsible for the Wilson disease. While the disease can be effectively treated, the lack of efficient and non-invasive diagnosis techniques makes it potentially deadly. Our project aims for developing an efficient, sensitive, specific, and low cost sensor device based on metal-oxide-semiconductor field effect transistor technology and has the originality of using a 2.4 nm thick monolayer of DC8,9PC lipids as gate dielectric. We demonstrate that such lipids can be chemically engineered to allow the fabrication of monolayers with high mechanical and electrical stability and to confer them specific probe function. Specificity of the sensor is given by the grafting of a copper specific chelator to the lipids head-groups. The lipid monolayer is formed on the transistor semiconducting channel by the vesicle fusion. In the first part of the thesis, we describe the fabrication of the transistor including the chemical engineering of the lipids with the chelator. Sensitivity and specificity measurements were realized in aqueous solutions containing copper ions and potentially competitive ions. The second part is dedicated to improving the performances of the lipid monolayer as a stable insulator. We introduce in this thesis the concept of double polymerization of the lipids in the monolayer with a reticulation at both the levels of their aliphatic chains and their head-groups. We demonstrate that that leads to drastic improvements of both the mechanical and electrical properties of the monolayer
APA, Harvard, Vancouver, ISO, and other styles
12

Rigoudy, Charles. "Couches minces diélectriques avec des inclusions de nanoparticules d'argent réalisées par voie plasma conçues pour le contrôle du gradient de charges électriques sous irradiation électronique pour des applications spatiales." Thesis, Toulouse 3, 2019. http://www.theses.fr/2019TOU30268.

Full text
Abstract:
Le phénomène d'émission électronique est étudié dans de nombreux domaines fondamentaux de la physique et pose le principe de fonctionnement d'un grand nombre de dispositifs tels que les écrans à émission de champ, les propulseurs Hall, etc. Il est mieux compris pour les métaux. Cependant pour les matériaux isolants, il constitue un phénomène critique limitant la fiabilité des composants dans les applications spatiales où les phénomènes de décharge et de claquage sont entièrement contrôlés par l'émission électronique. Selon l'énergie des électrons incidents et les propriétés des diélectriques, les électrons peuvent être piégés au sein du matériau, et/ou être à l'origine de phénomènes d'émission électronique. Ce travail de thèse se situe à l'interface de trois domaines de recherche : le dépôt par plasma de couches minces nanocomposites, le piégeage et le transport de charges électriques dans les diélectriques, et la caractérisation des matériaux sous irradiation en milieu spatial. Il explore l'effet des nanoparticules d'argent (AgNPs) enterrées dans des couches minces de silice, sur les mécanismes physiques (injection, piégeage, transport de charges et émission électronique secondaire) responsables du chargement diélectrique et des émissions d'électrons, afin de moduler ces phénomènes. Les couches minces nanostructurées de silice contenant un plan d'AgNPs ont été élaborées par procédé plasma combinant dans un même réacteur la pulvérisation d'une cible métallique et le dépôt chimique en phase vapeur activé par plasma (PECVD). La caractérisation structurale des échantillons a permis de déterminer la composition chimique de la matrice de silice plasma, la taille, la forme, la densité et la distribution des AgNPs ainsi que l'épaisseur totale de la structure. Ces analyses ont permis de corréler les paramètres structurels avec la réponse des couches diélectriques nanostructurées réalisées sous contrainte électrique et irradiation électronique. Il a été constaté que pour des électrons primaires de faible énergie (< 2keV), le rendement total d'émission d'électrons (TEEY) des couches minces de silice sans AgNPs présente une forme atypique avec un minimum local situé à environ 1 keV. Afin de mieux comprendre ce comportement, un modèle de TEEY a été développé. Il est basé sur le modèle de Dionne, et adapté aux diélectriques. Il considère le champ électrique interne résultant de l'accumulation de charges électriques dans la couche diélectrique. [...]
Electron emission phenomenon is intensively studied in many fundamental areas in physics and lays down the principle of operation of a large number of devices such as field emission display devices, Hall thrusters, etc. It is better described for metals. However, when originating from insulating materials it becomes a critical phenomenon involved in reliability issues of components in space applications where surface flashover phenomena and vacuum breakdown are entirely controlled by the electron emission from solids. Depending on the energy of impinging electrons and the dielectric properties, the electrons can be trapped within the dielectric bulk, and/or be responsible of electron emission phenomena. This PhD work, carried out at the interface of three research domains: plasma deposition of thin nanocomposite layers, dielectric charging and charge transport in thin dielectrics, and characterization of materials under irradiation in space environment, aims to explore the effect of metal inclusions (silver nanoparticles, AgNPs), embedded in thin dielectric silica layers, on the physical mechanisms (charge injection, trapping, transport and secondary electron emission from the surface) responsible of the dielectric charging and electron emission from dielectrics, in order to modulate them. Nanostructured thin dielectric silica layers containing a single plan of AgNPs have been elaborated by plasma process successfully combining in the same reactor sputtering of a metallic target and plasma enhanced chemical vapor deposition (PECVD). Structural characterization of the resulting samples has been performed to determine the chemical composition of the plasma silica matrix as well as to obtain the AgNPs size, shape, density and distribution and the total thickness of the structure. These analyses allowed correlation of the structural parameters with the response of the obtained nanostructured dielectric layers under electrical stress and electronic irradiation. It was found that for low energy of the incident electrons (< 2keV) the total electron emission yield (TEEY) from thin silica layers without AgNPs presents an atypical shape with local minimum situated at around 1keV. To get closer to the description of this behavior a model for the TEEY was developed. It is based on Dionne's model, but adapted to dielectrics. It considers the internal electric field resulting from dielectric charging phenomenon.[...]
APA, Harvard, Vancouver, ISO, and other styles
13

Hajjam, Khalil El. "Ingénierie de jonctions tunnel pour améliorer les performances du transistor mono-électronique métallique." Thesis, Lyon, INSA, 2015. http://www.theses.fr/2015ISAL0111/document.

Full text
Abstract:
Aujourd’hui plusieurs obstacles technologiques et limitations physiques s’opposent à la poursuite de la miniaturisation de la technologie CMOS : courants de fuite, effet de canal court, effet de porteurs chauds et fiabilité des oxydes de grille. Le transistor à un électron (SET) fait partie des composants émergents candidats pour remplacer les transistors CMOS ou pour constituer une technologie complémentaire à celle-ci. Ce travail de thèse traite de l’amélioration des caractéristiques électriques du transistor à un électron en optimisant ses jonctions tunnel. Cette optimisation commence tout d’abord par une étude des modes de conduction à travers la jonction tunnel. Elle se conclut par le développement d’une jonction tunnel optimisée basée sur un empilement de matériaux diélectriques (principalement Al2O3, HfO2 et TiO2) ayant des propriétés différentes en termes de hauteurs de barrières et de permittivités relatives. Ce manuscrit présente, la formulation des besoins du SET et de ses jonctions tunnel, le développement d’outils de simulation appropriés - basés sur les matrices de transmission - pour la simulation du courant des jonctions tunnel du SET, l’identification des stratégies d’optimisation de ces dernières, grâce aux simulations et finalement l’étude expérimentale et l’intégration technologique des jonctions tunnel optimisées dans le procédé de fabrication de SET métallique en utilisant la technique de dépôt par couches atomiques (ALD). Ces travaux nous ont permis de prouver l’intérêt majeur de l’ingénierie des jonctions tunnel du SET pour accroitre son courant à l’état passant, réduire son courant de fuite et étendre son fonctionnement à des températures plus élevées
Today, several technological barriers and physical limitations arise against the miniaturization of the CMOS: leakage current, short channel effects, hot carrier effect and the reliability of the gate oxide. The single electron transistor (SET) is one of the emerging components most capable of replacing CMOS technology or provide it with complementary technology. The work of this thesis deals with the improvement of the electrical characteristics of the single electron transistor by optimizing its tunnel junctions. This optimization initially starts with a study of conduction modes through the tunnel junction. It concludes with the development of an optimized tunnel junction based on a stack of dielectric materials (mainly Al2O3, HfO2 and TiO2), having different properties in terms of barrier heights and relative permittivities. This document, therefore, presents the theoretical formulation of the SET’s requirements and of its tunnel junctions, the development of appropriate simulation tools - based on the transmission matrix model- for the simulation of the SET tunnel junctions current, the identification of tunnel junctions optimization strategies from the simulations results and finally the experimental study and technological integration of the optimized tunnel junctions into the metallic SET fabrication process using the atomic layer deposition (ALD) technique. This work allowed to demonstrate the significance of SET tunnel junctions engineering in order to increase its operating current while reducing leakage and improving its operation at higher temperatures
APA, Harvard, Vancouver, ISO, and other styles
14

Acharya, Snigdhatanu, Sumedha Chouthe, Heinrich Graener, Tammo Böntgen, Chris Sturm, Rüdiger Schmidt-Grund, Marius Grundmann, and Gerhard Seifert. "Ultrafast dynamics of the dielectric functions of ZnO and BaTiO3 thin films after intense femtosecond laser excitation." American Institute of Physics, 2014. https://ul.qucosa.de/id/qucosa%3A31231.

Full text
Abstract:
The ultrafast carrier dynamics of epitaxial ZnO and BaTiO3 thin films after intense excitation at 3.10 eV and 4.66 eV photon energy has been studied by femtosecond absorption spectroscopy. Modelling the transient transmission changes on the basis of spectroscopic ellipsometry data and pertinent equilibrium model dielectric functions extended by additional terms for the effects at high carrier density (P-band luminescence and stimulated emission from electron-hole-plasma), a self-consistent parameterized description was obtained for both materials. Excited carrier lifetimes in the range of ≈2 to ≈60 ps and long-lived thermal effects after several hundred ps have been identified in both materials. These findings form a reliable basis to quantitatively describe future femtosecond studies on ZnO/BaTiO3 heterolayer systems.
APA, Harvard, Vancouver, ISO, and other styles
15

Reading, Michael Alexander. "The application of MEIS for the physical characterisation of high-k ultra thin dielectric layers in microelectronic devices." Thesis, University of Salford, 2010. http://usir.salford.ac.uk/26876/.

Full text
Abstract:
During the last decade the use of 8162 as gate dielectric layers in complementary metal oxide semiconductor (CMOS) microelectronic devices has become increasingly problematic due to leakage resulting from the electron tunnelling with gate oxide thickness approaching 1 nm. Approaches to deal with these problems have focused on increasing the dielectric constant (k) of the material, initially though nitridation of the oxide layer and more recently the application of high-A: materials such as Hf based dielectrics. The work described in this thesis concerns the physical characterisation of thin high-A: multilayered samples using medium energy ion scattering (MEIS). A MEIS computer simulation model was applied and adapted to enable the interpretation of depth profiles from MEIS energy spectra. Forming part of an EU collaborative project, results obtained were compared to those of X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), and X-ray fluoresence (XRF) to provide a better overall understanding of the characteristics of the layers. Nanometre thin SiC>2 layers nitrided using a novel plasma nitridation technique were investigated, demonstrated the nitridation of, and yielded the N distributions in the SiC>2 samples as well as demonstrating plasma damage. An improved k value was found, leading to an increased equivalent oxide (EOT) thickness. Studies of HfO2 and HfSiOx nanolayers, both with and without subjection to a decoupled plasma nitridation (DPN) process were carried out, characterising the layer structures with an accuracy of 0.1 nm in excellent agreement with the additional techniques. Crystallisation of the HfO2 layers, but not of the HfSiOx layers, after DPN was demonstrated. A high-A; metal gate Si/SiO2/HfO2/Al2O3/TiN stack was also investigated and Hf/Al interdiffusion demonstrated upon annealing. Finally Si/TiN/STO layers grown using different stoichiometric recipes, with and without a rapid thermal anneal at 650°C for 15s, were analysed. Layer structures were again determined with sub-nm resolution and diffusion between the Sr and Ti layers was observed after annealing. The high level of agreement between the depth profiles derived from the MEIS energy spectra, the growth parameters and the results from additional techniques has demonstrated the capability of MEIS in combination with spectrum simulation for the accurate analysis of these demanding ultra thin layer structures.
APA, Harvard, Vancouver, ISO, and other styles
16

Waechtler, Thomas, Steffen Schulze, Lutz Hofmann, Sascha Hermann, Nina Roth, Stefan E. Schulz, Thomas Gessner, Heinrich Lang, and Michael Hietschold. "Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru." Universitätsbibliothek Chemnitz, 2009. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-200901295.

Full text
Abstract:
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
APA, Harvard, Vancouver, ISO, and other styles
17

Štverka, Dalibor. "Analýza koaxiálních a jednovodičových nehomogenních struktur v časové oblasti." Doctoral thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2009. http://www.nusl.cz/ntk/nusl-233486.

Full text
Abstract:
The work considers pulse wire-guided electromagnetic (EM) waves. The main contribution of the work is the original design of the isolation bushing for maximizing of the received wave (echo) thanks to the results of the numerical simulations, the acquirement of the new acknowledges of the reflections of the guided EM wave from the various boundaries, the construction of PML layers for FDTD simulations in rotational coordinates, the advances made in temporary excitation sources for FDTD and the formulation of the program code for FDTD in rotational coordinates in Matlab environment. The rightness of the numerical simulations was verified in practical experiments. The practical exploitation of the results is supposed in instrumentation and control technology - the level measurement in reservoirs.
APA, Harvard, Vancouver, ISO, and other styles
18

Mapesa, Emmanuel Urandu. "Molecular dynamics of nanometric layers of glass formers in interaction with solid substrates." Doctoral thesis, Universitätsbibliothek Leipzig, 2014. http://nbn-resolving.de/urn:nbn:de:bsz:15-qucosa-155709.

Full text
Abstract:
Broadband Dielectric Spectroscopy (BDS) in combination with a nanostructured electrode arrangement – which circumvents the conventional need to evaporate metal electrodes onto soft matter – is used to study the molecular dynamics of several glass forming materials confined in nanometric (> 5 nm) layers. Other complementary experimental tools employed in this work include spectroscopic vis-Ellipsometry (SE), AC-chip calorimetry (ACC), X-ray reflectrometry (XRR), Differential Scanning Calorimetry (DSC) and Atomic Force Microscopy (AFM). The latter is used to characterize the topography of the samples and to determine their thicknesses. Under the conditions of annealing samples (Tg + 50K) in high oil-free vacuum (10E-6 mbars) for at least 12 h and carrying out measurements in inert (dry nitrogen or argon) atmosphere, it is found for all studied thin layers that the structural relaxation, and hence the dynamic glass transition – in its mean relaxation times – remains within a margin ±3 K from the respective bulk behaviour. It is revealed, inter alia, that the one-dimensional confinement of thin films introduces restrictions on other (slower) molecular relaxation processes which manifest, depending on the specific system under investigation, as (i) an interruption of the end-to-end (normal mode) fluctuation of the chains, or (ii) a slowing down of the delta-relaxation when the system is cooled towards glass-formation. Furthermore, (iii) evidence is provided to show that the dimensionality of confinement plays a significant role in determining the resulting dynamics. A molecular understanding of these findings is given, and the discussion presented with respect to the on-going international debate about dynamics in confinement.
APA, Harvard, Vancouver, ISO, and other styles
19

PIPAN, GIULIO. "Inkjet printing of solutions as precursors of: i) organic semiconducting single crystals on self-assembled monolayers modified substrates and ii) nanoscale-thin dielectric layers." Doctoral thesis, Università degli Studi di Trieste, 2017. http://hdl.handle.net/11368/2908112.

Full text
Abstract:
In the last years inkjet Printing (IJP) has become a very important technology for creating flexible devices for electronics, due to its simplicity, low cost and high precision. In this frame, the European Project i-FLEXIS sought for realizing novel ionizing radiation detectors based on Organic Semiconducting Single Crystals (OSSCs) on flexible substrates, capable of low power operation, flexibility, optical transparency, all at low fabrication costs and high throughput. Chapter 1 – Introduction – the main characteristics of the organic semi-conductors, on which the organic electronics is based, will be indicated and described. In addition, the production methodologies will be illustrated, focusing on Inkjet printing, which will be described in detail. The surface on which the crystals grow has an important role since its chemical and physical characteristics influence two fundamental aspects: i)crystal type; ii)electrical conduction inside an electronic device. The characteristics of the surface can be modified using a chemical approach. This work has been focused on Self Assembled Monolayers (SAMs), used to cover the surface, and optimize its characteristics; these organic molecules have been studied and their properties, functions and applications will be described. At the end the growth via low temperature combustion reaction of a nanolayer of AlOx, used as a dielectric inside of a TFT, will be illustrated. The solution containing the precursors is deposited using ink jet printing. Chapter 2 – Results, Discussions, Materials and Methods – will concern one of the currently main prospected applications of IJP, which is the fabrication of organic electronics devices based on organic semiconductors crystals. The organic molecule involved in this PhD research is the TIPS-pentacene, due to its excellent semiconducting behavior and its capability to detect X-rays. The defects and the heterogeneity of the substrates, in addition to the high evaporation rate (caused by the spreading of the printed drop), promote the formation of little crystals and poly-crystalline domains. This problem can be solved using the printing of a solvo-phobic corral based on fluorinate SAMs, which keeps the drop compact reducing the evaporation rate and creating TIPS single crystals in the range of 1 to 2,5 mm length. The increase of the electrical conduction between the electrodes and the TIPS crystals is made possible by their orientation. The method used to orientate these crystals is geometrical: a corral with a high length versus width ratio is printed, this promotes their growth along the major axe of the corral. The verification of the electrical conduction between the electrodes and the TIPS crystals has been carried out by preliminary tests at the University of Trieste and, subsequently, by tests on the X-ray detection at the University of Bologna, a collaborating group in the frame of the i-FLEXIS project. The printed TIPS single crystals are able to collect charge carriers created by the absorption of ionizing radiation. Chapter 3 – Ink jet printed nano-thin dielectric layers – will be about the development of a printed dielectric layer made by AlOx at the New University of Lisbon, in order to obtain a complete printed device. The dielectric layer has been grown through the chemical reaction of combustion at low temperature, after its printing on a silicon substrate. The ink formulation has been limited by the precursors type and concentration, in addition to the limitations provided by the printer itself. The solution has consisted of a mix of solvents that respect all the required parameters. All the inks have been printed and tested. The best ink obtained from the tests has been adopted as a dielectric layer inside the TFTs, which subsequently have been electrically tested successfully. Chapter 4 – Summary of the Conclusion
APA, Harvard, Vancouver, ISO, and other styles
20

Prakash, Adithya. "Investigation on electrical properties of RF sputtered deposited BCN thin films." Master's thesis, University of Central Florida, 2013. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/5838.

Full text
Abstract:
The ever increasing advancements in semiconductor technology and continuous scaling of CMOS devices mandate the need for new dielectric materials with low-k values. The interconnect delay can be reduced not only by the resistance of the conductor but also by decreasing the capacitance of dielectric layer. Also cross-talk is a major issue faced by semiconductor industry due to high value of k of the inter-dielectric layer (IDL) in a multilevel wiring scheme in Si ultra large scale integrated circuit (ULSI) devices. In order to reduce the time delay, it is necessary to introduce a wiring metal with low resistivity and a high quality insulating film with a low dielectric constant which leads to a reduction of the wiring capacitance. Boron carbon nitride (BCN) films are prepared by reactive magnetron sputtering from a B4C target and deposited to make metal-insulator-metal (MIM) sandwich structures using aluminum as the top and bottom electrodes. BCN films are deposited at various N2/Ar gas flow ratios, substrate temperatures and process pressures. The electrical characterization of the MIM devices includes capacitance vs. voltage (C-V), current vs voltage, and breakdown voltage characteristics. The above characterizations are performed as a function of deposition parameters.
M.S.E.E.
Masters
Electrical Engineering and Computing
Engineering and Computer Science
Electrical Engineering
APA, Harvard, Vancouver, ISO, and other styles
21

Thomas, Mikkel Andrey. "Integrated optical interferometric sensors on silicon and silicon cmos." Diss., Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/26674.

Full text
Abstract:
The main objective of this research is to fabricate and characterize an optically integrated interferometric sensor on standard silicon and silicon CMOS circuitry. An optical sensor system of this nature would provide the high sensitivity and immunity to electromagnetic interference found in interferometric based sensors in a lightweight, compact package capable of being deployed in a multitude of situations inappropriate for standard sensor configurations. There are several challenges involved in implementing this system. These include the development of a suitable optical emitter for the sensor system, the interface between the various optically embedded components, and the compatibility of the Si CMOS with heterogeneous integration techniques. The research reported outlines a process for integrating an integrated sensor on Si CMOS circuitry using CMOS compatible materials, integration techniques, and emitter components.
APA, Harvard, Vancouver, ISO, and other styles
22

Langenberg, Pérez Eric. "Growth and characterisation of Bi-based multiferroic thin films." Doctoral thesis, Universitat de Barcelona, 2013. http://hdl.handle.net/10803/120750.

Full text
Abstract:
Multiferroic materials, in which both ferroelectric and (anti)ferromagnetic orders coexist in the same phase, have received much interest in the last few years. The possibility of these two ferroic orders being coupled allows new functionalities in these materials as controlling the magnetisation by an electric field or, conversely, controlling the polarisation by a magnetic field. The fulfilment of this magnetoelectric coupling is not only interesting in terms of fundamental research but it would also pave the way for designing novel magnetoelectric applications. For this latter purpose, ferromagnetic multiferroics would have greater advantages over the antiferromagnetic ones because of the net magnetisation. However, it is the antiferromagnetic order which prevails in multiferroic materials. Bi-based perovskite and double-perovskite oxides, BiBO3 and Bi2BB’O6, respectively, where B and B’ are magnetic transition metal ions present an excellent starting point to investigate new ferromagnetic ferroelectric materials. In these compounds ferroelectricity arises from the stereochemical activity of Bi3+ cations. Conversely, magnetism is driven by the superexchange interaction between the magnetic ions through the adjacent oxygen ions (B – O – B). In particular, to date, BiMnO3 and Bi2NiMnO6 systems are the only reported ferromagnetic Bi-based perovskite oxides. Hence, both systems are investigated in the work of this thesis in thin films . First of all, this thesis addresses the synthesis of these compounds. In this process, three main hindrances were met. Firstly, these Bi-based compounds are highly metastable, which implies that they are only possible to be synthesised in bulk under extreme conditions, i.e. under high temperatures and high pressures. The strategy used to circumvent the required high pressures consisted of replacing the mechanical pressure by the epitaxial stress in thin films. For this purpose these Bi-based compounds were grown by pulsed laser deposition (PLD) onto single-crystal (001)-oriented SrTiO3 substrates. Secondly, Bi is a highly volatile element and consequently the synthesis temperature was not a free deposition parameter, forcing the use of low synthesis temperatures in order to prevent non-stoichiometric films or even the no-formation of the compound when the Bi-deficiency was too large. Yet the general metastable character of these compounds demands the use of high temperatures to the synthesis process. These two antagonistic requirements were tried to be balanced by using 10% Bi-rich PLD targets in the case of BiMnO3 system and by partial replacement of Bi3+ cations by La3+ cations (by 10%) in the case of Bi2NiMnO6 system. In the latter approach, La-doping gives rise to a slightly reduced unit cell volume, exerting the socalled chemical pressure which contributes to prevent Bi3+ cations from desorption during the growth process. Thirdly, both in the ternary Bi – Mn – O and quaternary Bi – Ni – Mn – O systems a strong multiphase formation tendency was found, especially in the former, in which apart from the desired BiMnO3 and Bi2NiMnO6 compounds, different parasitic oxide phases appeared in the grown films. As a consequence of all these facts the single-phase stabilisation of either BiMnO3 or (Bi0.9La0.1)2NiMnO6 was greatly hampered and only possible to be achieved under a narrow window of deposition conditions. Once the deposition conditions for single-phase stabilisation of the Bi-based compounds are controlled, structural characterisation proves that both BiMnO3 and (Bi0.9La0.1)2NiMnO6 grow fully coherent on SrTiO3 substrates, thus adopting as the inplane lattice parameter that of the cubic substrate and subsequently a tetragonal-like structure. Importantly enough for the magnetic properties, (Bi0.9La0.1)2NiMnO6 thin films are found to display long-range B-site order and the Ni2+/Mn4+ electronic configuration, which is the required condition for a long-range ferromagnetism. Indeed, ferromagnetic behaviour is recorded but with a reduced Curie temperature probably due to the epitaxial strain of the substrate. Instead, BiMnO3 thin films are found to exhibit similar Curie temperature to that of bulk specimens. Two-dimensional growth mode is obtained for (Bi0.9La0.1)2NiMnO6 thin films, attaining very low rough surface, whereas BiMnO3 thin films were in all cases displaying a clear three-dimensional growth mode, yielding rougher surface morphology. Finally, in order to study the dielectric/resistive, magnetoelectric and ferroelectric properties parallel-plate capacitors were fabricated using single-crystal (001)-oriented Nb doped SrTiO3 substrates as bottom electrode and sputtered Pt as top electrodes. In (Bi0.9La0.1)2NiMnO6 thin films ferroelectric domains switching current is measured, which allows conclusively stating that (Bi,La)2NiMnO6 compounds are indeed ferroelectric up to at least 10% La content. By structural characterisation the ferroelectric transition temperature is inferred to be around 450 K. The second part of this bloc is devoted to study the dielectric properties and the possible magnetoelectric coupling of these compounds. In this work both the dielectric response and the magnetoelectric response was assessed by impedance spectroscopy, the latter using magnetic fields while recording the impedance response, with the final aim of observing any deviation of the dielectric permittivity of these compounds either in the vicinity of the ferromagnetic transition temperature or when applying a magnetic field. Either phenomenon would indicate magnetoelectric coupling. Special attention is given to the conventional artefacts these measurements often produce when performed on dielectric thin films, causing misleading interpretations, like apparent colossal dielectric constants and/or apparent large magnetoelectric couplings. Following these precautions the intrinsic dielectric and magnetoelectric response of BiMnO3 and (Bi0.9La0.1)2NiMnO6 thin films are extracted. Despite the fact that BiMnO3 dielectric data shows clear magnetoelectric signs, results points to a weak magnetoelectric coupling, which is especially emphasised in (Bi0.9La0.1)2NiMnO6 thin films, probably driven by the fact that magnetism and ferroelectricity arise by two independent mechanisms in these Bibased compounds.
Los materiales multiferroicos, en los cuales coexisten en la misma fase un ordenamiento ferroeléctrico y magnético, han recibido mucho interés en los últimos años. La posibilidad de que estén acoplados los dos órdenes ferroicos permite nuevas funcionalidades en estos materiales como el control eléctrico de la magnetización o, por el contrario, el control magnético de la polarización. La realización de dicho acoplamiento magnetoeléctrico no solo sería interesante en términos de investigación básica, sino que abriría camino para el diseño de nuevas aplicaciones magnetoeléctricas, especialmente en el campo de la spintrónica, como filtros de spin o uniones túneles magnéticas controladas mediante campos eléctricos en lugar de campos magnéticos y por lo tanto promoviendo una nueva generación de dispositivos de almacenamiento de alta densidad y bajo consumo. Para este último propósito, los multiferroicos que poseen un ordenamiento ferromagnético tendrían mayores ventajas que aquellos antiferromagnéticos debido a que los primeros mostrarían magnetización neta y por lo tanto permitirían un control más fácil del estado magnético. No obstante, es el orden antiferromagnético el que prevalece en estos materiales. Por eso es necesario la búsqueda de nuevos materiales que sean ferromagnéticos y ferroeléctricos. Los óxidos en estructura perovskita y doble perovskita basados en Bi, BiBO3 y Bi2BB’O6, respectivamente, donde B y B’ son iones magnéticos de metales de transición (es decir, con la capa electrónica externa d parcialmente ocupada), presentan un excelente punto de partida para investigar nuevos materiales ferromagnéticos y ferroeléctricos. En primer lugar, esta tesis aborda el problema de sintetizar estos compuestos. En este proceso se topó con tres principales obstáculos. Primero, estos compuestos basados en Bi son altamente metaestables, lo que implica que en su forma masiva sólo se pueden sintetizar bajo condiciones extremas: altas temperaturas y altas presiones (del orden de los GPa). Segundo, Bi es un elemento altamente volátil y por consiguiente la temperatura de síntesis de estos compuestos no fue un parámetro de crecimiento libre. Tercero, tanto en el sistema ternario Bi – Mn – O como cuaternario Bi – Ni – Mn – O se encontró una fuerte tendencia multifásica, especialmente en el primero, en los cuales, aparte de los compuestos deseados BiMnO3 y Bi2NiMnO6, se forman diferentes fases parásitas de óxidos como Mn3O4, Bi2O3 y MnO2 en el primer caso y NiO en el segundo. Como consecuencia de todos estos factores la estabilización monofásica de tanto BiMnO3 como (Bi0.9La0.1)2NiMnO6 fue dificultada en gran medida y solo se pudo conseguir bajo una ventana estrecha de condiciones de crecimiento. Especialmente crítico fue la temperatura de depósito, la cual sólo permitía una ventana de 10ºC alrededor de 630ºC y 620ºC para la síntesis de BiMnO3 y (Bi0.9La0.1)2NiMnO6, respectivamente.
APA, Harvard, Vancouver, ISO, and other styles
23

Rouxel, Yann. "Coévaporation avec masquage mécanique de ZnSe et de LaF3, pour la réalisation de couches minces à profils d'indice continus périodiques." Université Joseph Fourier (Grenoble), 1996. http://www.theses.fr/1996GRE10096.

Full text
Abstract:
Dans une etude theorique des structures a profil d'indice continu, il est montre que l'essentiel des proprietes spectrales des filtres a profil d'indice sinusoidal peut etre etudie de maniere analytique a partir de certaines proprietes de l'equation de mathieu. L'etude experimentale de ces structures a ete realisee a l'aide d'une technique de coevaporation par masquage mecanique. L'equipement de depot realisant la coevaporation a ete developpe pour permettre la realisation de couches sur substrat chaud pour des profils d'indice quelconques. Un systeme de mesure optique multispectral in-situ en reflectivite a egalement ete mis au point. Les proprietes des couches minces de melanges de znse et de laf3 ont ete etudiees pour toute la gamme de composition. Un schema d'evolution de la structure cristalline et de la porosite des couches en fonction de leur composition est propose. Les contraintes qui s'y developpent sont aussi analysees ainsi que leur evolution en fonction de l'environnement. L'etude des proprietes optiques a permis de definir les lois de melange ainsi que de mettre en evidence l'existence d'une birefringence evoluant avec la composition des melanges. Enfin, plusieurs types de structures periodiques ont ete realisees, comportant jusqu'a 20 periodes pour une epaisseur superieure a 5m. Les resultats ont permis de montrer que la modulation par masquage mecanique est une technique fiable et precise et que c'est le choix du couple de materiaux qui va conditionner la precision du controle du profil d'indice
APA, Harvard, Vancouver, ISO, and other styles
24

Treß, Martin. "Breitbandige dielektrische Spektroskopie zur Untersuchung der molekularen Dynamik von Nanometer-dünnen Polymerschichten." Doctoral thesis, Universitätsbibliothek Leipzig, 2015. http://nbn-resolving.de/urn:nbn:de:bsz:15-qucosa-158702.

Full text
Abstract:
Mit dieser Arbeit ist weltweit zum ersten Mal die molekulare Dynamik von vereinzelten,d.h. einander nicht berührenden Polymerketten experimentell bestimmt worden. Die Grundlagen dafür sind einerseits die breitbandige dielektrische Spektroskopie mit ihrer außerordentlich hohen experimentellen Empfindlichkeit und andererseits die Weiterentwicklung einer speziellen Probenanordnung, bei der hochleitfähige Silizium-Elektroden durch elektrisch isolierende Siliziumdioxid-Nanostrukturen in einem vordefinierten Abstand gehalten werden und so den Probenkondensator bilden. Im Rahmen dieser Arbeit wurde die Höhe der Nanostrukturen (und damit des Elektrodenabstands) auf nur 35 nm reduziert. Damit gelang der Nachweis, dass selbst vereinzelte kondensierte Polymer-Knäuel - im Rahmen der Messgenauigkeit - dieselbe Segmentdynamik (bzw. denselben dynamischen Glasübergang), gemessen in ihrer mittleren Relaxationsrate, wie die makroskopische Schmelze („bulk“) aufweisen. Nur ein kleiner Anteil der Segmente zeigt eine langsamere Dynamik, was auf attraktive Wechselwirkungen mit dem Substrat zurückzuführen ist, wie komplementäre Untersuchungen mittels Infrarot-Spektroskopie zeigen. Zudem bieten diese Experimente die Möglichkeit, nach der dielektrischen Messung die mit Nanostrukturen versehene obere Elektrode zu entfernen und die Verteilung der vereinzelten Polymerketten, deren Oberflächenprofile und Volumen mit dem Rasterkraftmikroskop zu bestimmen. Erst damit gelingt der Nachweis, dass die Polymer-Knäuel im Mittel aus einer einzelnen Kette bestehen. Die Kombination dieser drei unabhängigen Messmethoden liefert ein schlüssiges und detailliertes Bild, gekennzeichnet dadurch, dass attraktive Oberflächenwechselwirkungen die Glasdynamik nur über ca. 0,5nm direkt beeinflussen. In einem zweiten Teil trägt die Arbeit mit der Untersuchung dünner Polymerschichten im Nanometer-Bereich zu einer international geführten, kontroversen Diskussion um die Frage, ob sich im Falle solcher räumlichen Begrenzungen der dynamische und kalorimetrische Glasübergang ändern, bei. Dabei zeigt mit den präsentierten dielektrischen und ellipsometrischen Messungen eine Kombination aus einer Methode, die im Gleichgewichtszustand misst und einer, die den Übergang in den Nichtgleichgewichtszustand bestimmt, dass sich sowohl Polystyrol-Schichten verschiedener Molekulargewichte bis zu einer Dicke von nur 5 nm als auch Polymethylmethacrylat-Schichten auf unterschiedlichen (hydrophilen und hydrophoben) Substraten bis zu einer Dicke von 10 nm weder in ihrem dynamischen noch ihrem kalorimetrischen Glasübergang von der makroskopischen Schmelze unterscheiden.
APA, Harvard, Vancouver, ISO, and other styles
25

Beaurepaire, Sylvain. "Effet de recuits thermiques sur des diélectriques à faible permittivité pour des applications Back-End-of-Line intermédiaire en vue d'une intégration 3D séquentielle." Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT085.

Full text
Abstract:
L’utilisation de diélectriques à faible permittivité (low-k) devient nécessaire pour les intégrations de type 3D monolithiques, notamment comme couche diélectrique d’isolation susceptibles de tenir des budgets thermiques élevés (traitement à des températures > à 500°C). Les matériaux low-K «classiques » ne remplissent à priori pas ces spécifications. L’objectif principal de la thèse est de développer et d’étudier de nouveaux matériaux low-k possédant de meilleures stabilités en température. En parallèle, une étude approfondie sera conduite sur l’évolution de défauts (liaisons pendantes, liaisons fortement polarisables, …) suite au traitement thermique. En effet, ces défauts jouent un rôle important dans les caractéristiques électriques du matériau (courant de fuite, fiabilité). Des techniques d’élaboration de matériaux seront mises en œuvre ainsi qu’un ensemble de moyens d’analyses physico-chimiques (ellipsométrie, XRR, FTIR)
3D monolithic integration is becoming a new challenge towards developing advanced integrated electronic devices. One of the main key process is to obtain low dielectric constant materials (low-k) able to ensure the classical role of electrical insulator between different stacked conducting layers, but that can withstand high processing temperatures (> 500°C). The “classical” low-K materials cannot fulfill these requirements. The main aim of this thesis is to develop such materials by using appropriate doping of classical low-k materials that can show enhanced thermal stability. In particular, a study will be conducted on the evolution, after thermal processing, of point defects density such as dangling bonds and highly polarizable bonds. Indeed, these defects play a major role in determining the electrical characteristics of the low-k material (leakage current, reliability,…). Chemical vapor deposition techniques will be used to grow the low-k material, and a number of structural and compositional analysis techniques will be used to get access to the material characteristics (elliprometry, XRR, FTIR)
APA, Harvard, Vancouver, ISO, and other styles
26

Magnan, Romain. "Oxyde transparent conducteur de ZnO : V à partir d'une cible de nanoparticules : de l’ablation par laser pulsé à un procédé de décharge à barrière diélectrique double fréquence à pression atmosphérique Transparent and conductive vanadium doped zinc oxide thin films by pulsed laser deposition from different targets Atmospheric pressure dual RF-LF frequency discharge: Influence of LF voltage amplitude on the RF discharge behavior Atmospheric pressure dual RF-LF frequency discharge: transition from α to α-γ-mode." Thesis, Perpignan, 2020. http://www.theses.fr/2020PERP0008.

Full text
Abstract:
Cette thèse en cotutelle entre la France et le Canada a pour objectif de développer une méthode innovante d’élaboration de couches minces nano-composites de ZnO:V, basée sur la mise en vol et le dépôt de nanoparticules (NPs) de ZnO :V par des Décharges à Barrière Diélectrique (DBDs) double fréquence. Cette méthode de dépôt vise à réduire le coût de production par l’utilisation de nanoparticules synthétisées par méthode sol-gel et de DBDs dans une configuration permettant le dépôt de couches minces en continu à pression atmosphérique. Les travaux se sont déroulés en trois phases :- L’étude des OTC obtenus par ablation laser à partir d’une cible de NPs de ZnO:V(1 %at.) et de cibles métalliques de ZnV. La résistivité la plus faible (4 x 10 4 Ω.cm) est observée pour les dépôt faits à 250 °C à partir d’une cible de Zn :V(3 %at.) alors que les meilleures propriétés optiques sont celles d’une couche mince quasi-amorphe obtenue à 20 °C à partir de la cible de NPs de ZnO:V. Ces couches minces présentent une transmission de 40% dans l’UV à 250 nm, 90% dans le visible et 80% dans le PIR à 2500 nm) avec une résistivité de 6 x 10-2 Ω.cm. - La recherche et l’optimisation d’une source plasma DBD pour mettre en vol des NPs de ZnO:V dans une configuration compatible avec le dépôt de couches minces contrôlées. La démarche a consisté à chercher à accroître le flux et l’énergie des ions à la cathode en appliquant, sur une des électrodes, une tension radiofréquence (5 MHz) qui génère une forte densité d’ions (~2 x 1011/cm3) et sur l’autre électrode une tension basse fréquence (50 kHz) afin de transporter les ions vers la cathode. La première étape a été de bien comprendre la physique de la DBD RF-BF en couplant la caractérisation optique de la décharge et la modélisation fluide 1D. Lorsque la tension BF augmente, la décharge initialement RF en régime α bascule en régime α-γ durant 1/5 du cycle BF. Les résultats montrent qu’en régime γ la décharge est auto-entretenue dans la gaine et le flux d’ions à la cathode est multiplié par un facteur 7 alors que leur énergie s’accroit d’un facteur 4. L’étude expérimentale montre que lorsqu’une cible de NPs interagit avec une DBD RF-BF, des NPs sont mises en vol.- La conception et le test d’une configuration de réacteur DBD comprenant 2 zones plasmas successives : la première pour mettre en vol les NPs d’une cible, la deuxième pour déposer les NPs sur un substrat. Cette dernière est basée sur une DBD double fréquence BF-BF obtenue par application d’une tension 50 kHz qui génère des électrons pour charger les NPs et une tension 1 kHz dont on sait qu’elle peut assurer le transport des NPs chargées du volume vers les surfaces. La faisabilité a été montrée par l’observation de NPs sur le substrat
This thesis jointly supervised by France and Canada aims to develop an innovative method for the development of thin nanocomposite layers of ZnO: V, based on the sputtering and deposition of ZnO: V nanoparticles (NPs) using Double Frequency Dielectric Barrier Discharges (DBDs). This deposition method aims to reduce the cost of production by using nanoparticles synthesized by the sol-gel method and DBD in a configuration allowing the deposition of thin films continuously at atmospheric pressure. The work took place in three phases:- The study of TCO obtained by pulsed laser deposition from a target of NPs of ZnO: V (1% at.) and metal targets of ZnV. The lowest resistivity (4 x 10-4 Ω.cm) is observed for the deposits made at 250 ° C from a Zn: V target (3% at.) While the best optical properties are those of a quasi-amorphous thin layer obtained at 20 ° C from the NPs target of ZnO: V. These thin films have a transmission of 40% in UV at 250 nm, 90% in the visible and 80% in the PIR at 2500 nm) with a resistivity of 6 x 10-2 Ω.cm.- Research and optimization of a DBD plasma source to sputter ZnO: V NPs in a configuration compatible with the deposition of controlled thin films. The approach consisted in increase the flow and energy of the ions at the cathode by applying, on one of the electrodes, a radiofrequency voltage (5 MHz) which generates a high density of ions (~ 2 x 1011 / cm3) and on the other electrode a low frequency voltage (50 kHz) in order to transport the ions to the cathode. The first step was to understand the physics of the DBD RF-BF by coupling the optical characterization of the discharge and the 1D fluid modeling. When the LF voltage increases, the initially RF discharge in the α regime switches to the α-γ regime for 1/5 of the LF cycle. The results show that in γ regime the discharge is self-sustaining in the sheath and the flow of ions at the cathode is multiplied by a factor of 7 while their energy increases by a factor of 4. The experimental study shows that when an NPs target interacts with an RF-BF DBD, NPs are brought into flight.- The design and testing of a DBD reactor configuration comprising 2 successive plasma zones: the first to launch the NPs of a target, the second to deposit the NPs on a substrate. The latter is based on a double frequency BF-LF DBD obtained by applying a 50 kHz voltage which generates electrons to charge the NPs and a 1 kHz voltage which we know can ensure the transport of charged NPs from the volume to surfaces. The feasibility was shown by the observation of NPs on the substrate
APA, Harvard, Vancouver, ISO, and other styles
27

Jégou, Carole. "Intégration d'un film mince de Pb(Zr,Ti)O₃ dans une structure capacitive pour applications RF." Thesis, Paris 11, 2014. http://www.theses.fr/2014PA112322/document.

Full text
Abstract:
Les matériaux ferroélectriques suscitent beaucoup d’intérêt du fait de leurs propriétés physiques telles que la piézoélectricité, la ferroélectricité ou encore leur permittivité élevée. Ainsi, on cherche à les intégrer dans les micro- et nano-systèmes dans lesquels on les retrouve généralement sous forme de couche mince dans une configuration de type capacité plane. En particulier, l’oxyde de plomb, titane et zirconium (PZT) est un matériau très attractif pour les applications RF capacitives du fait de sa grande permittivité. Son intégration sur des électrodes métalliques, i.e. les lignes coplanaires constituant le guide d’onde, implique de maîtriser sa croissance en film mince. L’application d’une tension dans un dispositif RF actif impose également de contrôler les propriétés électriques : nature des courants de fuite et comportement ferroélectrique du PZT. Dans ce contexte, les couches minces de PZT sont déposées par ablation laser (PLD) sur un empilement La₀.₆₇Sr₀.₃₃MnO₃ (LSMO) / Pt (111) déposé sur un substrat monocristallin de saphir. La couche d’accroche conductrice LSMO est nécessaire afin d’éviter la formation d’une phase pyrochlore paraélectrique. Le contrôle de l’orientation cristalline de la couche de LSMO permet de contrôler la texturation de la couche de PZT. Les courants de fuite au travers de l’empilement Pt/PZT/LSMO/Pt ont ensuite été étudiés dans l’intervalle de température 220-330K de façon à déterminer les mécanismes de conduction. Une transition a été mise en évidence entre, autour de la température ambiante, un mécanisme contrôlé par la diffusion des charges en volume et, à basse température, un mécanisme contrôlé par l’injection des charges aux interfaces électrode/PZT. Un mécanisme par sauts a été identifié au-dessus de 280K en cohérence avec la présence de défauts étendus et la structure colonnaire du PZT. Afin de contrôler ces courants de fuite, différentes stratégies ont été utilisées. La première consiste à insérer une couche d’oxyde isolante à l’interface supérieure Pt/PZT modifiant ainsi l’injection des charges et permettant de réduire les courants de fuite. La seconde stratégie consiste, quant à elle, à modifier la structure de la couche de PZT en volume en élaborant des composites diélectrique/PZT multicouches ou colonnaires. Ainsi, une couche d’oxyde isolante a été insérée au milieu de la couche de PZT et a permis de réduire les courants de fuite. Le contrôle de la nucléation du PZT a également permis par nanofabrication d’élaborer un composite colonnaire pérovskite PZT/pyrochlore. La densité de piliers de pyrochlore dans la phase ferroélectrique permet de moduler la densité de courant dans la structure. Le PZT et les hétérostructures permettant de réduire les courants de fuite ont ensuite été intégrés dans une structure RF capacitive avec des lignes coplanaires d’or. Les performances RF en termes d’isolation et de pertes par insertion ainsi que la compatibilité de ces différents matériaux ont été étudiées et ont montré que les solutions développées dans le cadre du contrôle des courants de fuite sont prometteuses pour être intégrées dans les dispositifs RF capacitifs. En outre, on a cherché à extraire la permittivité à haute fréquence du PZT lorsque celui-ci est inséré dans une structure capacitive. Cette étude a notamment permis de mettre en évidence les points techniques à modifier concernant la structure du dispositif afin de parvenir à exploiter les propriétés physiques du PZT à haute fréquence
Ferroelectric materials are raising a lot of interest due to their physical properties such as piezoelectricity, ferroelectricity or high dielectric constant. Thus, they are generally integrated in micro- and nano-systems as thin films in a capacitive configuration. Especially, the lead zirconate titanate oxide (PZT) is an attractive material for capacitive RF applications due to its high dielectric constant. The growth of the PZT thin film has to be controlled on metallic electrodes for its integration on coplanar transmission lines. Moreover, electrical properties such as leakage current and ferroelectric behavior of PZT have to be monitored upon application of a dc voltage bias for RF device operation. In this context, PZT thin films were grown by the pulsed laser deposition technique (PLD) on a La₀.₆₇Sr₀.₃₃MnO₃ (LSMO) / Pt (111) electrode on a monocrystalline sapphire substrate. The LSMO buffer layer is mandatory to avoid the formation of the paraelectric pyrochlore phase. The control of the crystalline orientation of the LSMO layer allows for the control of the PZT layer texture. Leakage currents through the Pt/PZT/LSMO/Pt stack were then studied in the 220-330K temperature range to determine the conduction mechanisms. A transition is evidenced between a bulk-controlled mechanism near room temperature and an interface-controlled mechanism at low temperature. A hopping mechanism is identified above 280K in line with the presence of extended defects and the columnar structure of the PZT layer. Several strategies were tested to control leakage currents. The first one consists in inserting an insulating oxide layer at the top Pt/PZT interface. In this way, charge injection was modified and leakage currents were reduced. The second strategy consists in changing the PZT layer bulk structure by elaborating a layered or columnar dielectric/PZT composite. Thus, an insulating oxide layer was inserted in the middle of the PZT layer and permitted to reduce leakage currents. Moreover, the control of the PZT nucleation allowed for the elaboration of a columnar PZT/pyrochlore composite. The leakage currents in this composite can be tuned through the pyrochlore pillars density among the ferroelectric matrix. Then, PZT and the heterostructures for leakage current control were integrated in a capacitive RF structure with gold coplanar transmission lines. RF performances in terms of isolation and insertion loss of these materials were studied and gave good results. In particular the heterostructures developed to control the leakage currents are promising for their integration in capacitive RF devices. Besides, I tried to extract the permittivity of PZT at high frequency with the PZT layer in a capacitive configuration. This study highlighted the essential modifications of the capacitive structure that have to be made in order to be able to exploit PZT properties at high frequency
APA, Harvard, Vancouver, ISO, and other styles
28

Tsung-SyunHuang and 黃琮訓. "Investigation of PMMA Dielectric Layer Applied in Organic Thin Film Transistors." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/35779939515849450632.

Full text
Abstract:
博士
國立成功大學
微電子工程研究所碩博士班
98
The structure and electric characteristics of PMMA-based organic thin film transistor and their pentacene film grown on PMMA film have been investigated in this dissertation Four solvents, toluene, p-xylene, o-Dichlorobenzene, and chloroform were selected to dissolve PMMA and AFM was used to measure the grain size and roughness of pentacene grown on PMMA. Several material characterization techniques, such as X-ray diffraction (XRD), contact angle, X-ray photoelectron spectroscopy(XPS) and atomic force microscope (AFM) were performed to characterize the material quality. This study gave clear experimental evidence that the quality of pentacene grown on the PMMA dielectric layer dissolved in toluene is the best choice. The treatment of UV-ozone on ITO surface can reduces the leakage current through PMMA dielectric layer. With the UV-ozone cleaner treatment for ITO surface, the average number of pinholes in PMMA film deposited the ITO reduces from 47 to 19 (in 25 μm2 area). The leakage current density reduces from 1.5 to 2.0 × 10-2 A/m2. According to the analysis of XRD and AFM measurements, the optimum pentacene thickness grown on PMMA film was 60 nm. In this dissertation, we have also compared the performance of PMMA-based OTFTs with that of SiO2-based OTFTs, including pentacene film quality and electric characteristics. This study also gave clear experimental evidence that the quality of pentacene grown on the PMMA layer was better than that grown on SiO2 dielectric layer. XRD was used to measure the diffraction intensity in order to observe the crystalline quality of pentacene thin film on PMMA and SiO2. AFM was also used to measure the grain size and roughness of pentacene grown on PMMA and SiO2 and subsequently deduce a match in surface free energy between pentacene and PMMA. The maximum saturation field-effect mobility was 0.241 cm2/V s. It was also found that the electric characteristics of OTFT with PMMA dielectric layer were beter than that of OTFT with SiO2 dielectric layer. The excellent transfer characteristics of pentacene-based OTFTs with PMMA as dielectric layer were obtained: drain saturation current (3 μA at VGS = -50 V and VDS = -50 V), threshold voltage (VT = -11.5 V), on/off current ratio (7.7 × 104), and field-effect mobility (μsat = 0.48 cm2/Vs) were obtained by inserting the MoO3 buffer layer. The MoO3/pentacene interface was analyzed by XPS and found the C1s core level peak in MoO3/pentacene interface shifted to higher binding energy. For the energy barrier of 0.2 eV in Au/MoO3 interface, the carriers can sufficiently jump the energy barrier into MoO3 layer as VDS increases, and than efficiently inject into pentacene film. This is why the performance of OTFTs with MoO3 buffer layer can be enhanced as VDS is more than 1.7 V. Besides, the MoO3 buffer layer was also a protector against the penetration phenomena, which would cause interface dipole barrier. Therefore, excellent performance of pentacene-based thin film transistors will be achieved by inserting a MoO3 buffer layer.
APA, Harvard, Vancouver, ISO, and other styles
29

Huang, Jian-Wei, and 黃健維. "The Research of Ta2O5 Dielectric layer and IGZO Channel layer for Transparent Thin Film Transistors." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/63721322113684928883.

Full text
Abstract:
碩士
國立雲林科技大學
光學電子工程研究所
99
In this study, the high mobility of the Indium Gallium Zinc Oxide (IGZO) as the channel layer of a thin film transistor (TFT), by using RF magnetron sputtering method at room temperature of the substrate without heating. The carrier mobility and carrier concentration of the IGZO thin film are dependent on the oxygen content. When the deposition condition of oxygen content is 2%, the IGZO thin film showed the carrier mobility and concentration are 5.3 cm2/V-s and 6.8×1018 cm-3, respectively. And it also showed good transpance about 85%. Tantalum oxide was used as a high dielectric material, in this study. For low leakage, we used the oxygen plasma and post deposition annealing treatment to improve the film leakage current characteristics. After the Ta2O5 thin film was deposited by RF sputtering, it was treated in O2 plasma for 3 minutes. Then it was processed by Furnace annealing in O2 ambient at 250℃ for 3minutes. The processed Ta2O5 thin film showed small leakage about 1.0×10-8 A/cm2 and high dielectric characteristic about 54.2. It showed good performance as a insulator of thin film transistor.
APA, Harvard, Vancouver, ISO, and other styles
30

Chang, Po-Yen, and 張博彥. "Top-gated Black Phosphorus Thin Film Transistor with High-K Dielectric Layer." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/7mkt5v.

Full text
Abstract:
碩士
國立臺灣大學
電子工程學研究所
105
In this thesis, material analysis are first investigated to study the fundamental properties of the exfoliated black phosphorus (BP). The thickness of BP flakes can be roughly determined by optical microscopy and atomic force microscopy (AFM). The orientation of BP flakes can be recognized by Raman spectroscopy. AFM and X-ray photoelectron spectroscopy are used to observe the changing process on the BP surface when BP nanosheets are exposed in the air. With the improvement of fabrication processes, the back-gated BP thin film transistors (TFTs) are successfully fabricated and show the good device performance. The high mobility over 400cm2/V*s and the on/off ratio up to 3 order of magnitude can be achieved by choosing the appropriate thickness of flakes and annealing conditions. However, the conventional back-gated BP TFTs with 300nm SiO2 have the higher subthreshold swing (SS) and the poor stability, which seriously limit the device applications. The top-gated structure with high-k dielectric capping not only successfully reduced the SS from 11V/dec to 0.86V/dec, but also improved the stability that the device showed the great device performance even for the 7days exposure.
APA, Harvard, Vancouver, ISO, and other styles
31

Cheng, Ching-Yen, and 鄭敬彥. "Analysis and simulation of the dielectric breakdown patterns in thin layer of medium." Thesis, 1996. http://ndltd.ncl.edu.tw/handle/05109654227123053381.

Full text
Abstract:
碩士
國立交通大學
電子物理學系
84
Different types of experimental dielectric breakdown patterns are described in detail and examined using 'fractal' analysis. It is found that the patternsremarkbaly display fractal structure. Then, we applied the Active Walker Model(AWM) to study the possible physical mechanism of dielectric breakdown in liquid.The influence of model parameters on pattern growth behavior is examined. Varioustypes of computer simulation patterns are compared with experimental patterns,the relationship between the model parameters and the physical conditions during breakdown is also examined.
APA, Harvard, Vancouver, ISO, and other styles
32

Lin, Yen Po, and 林彥伯. "Application and Study of Low-K Dielectric Layer on Organic Thin Film transistor." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/44292270700774628269.

Full text
Abstract:
碩士
清雲科技大學
電機工程研究所
94
Recently active matrix organic light-emitting diodes (AMOLEDs) become the most advanced technique on the FPD market. Using organic light-emitting diodes (OLEDs) and organic thin film transistors (OTFTs) can produce low-cost, flexible, full color flat panel display. Organic thin-film transistors (OTFTs) have been affected much attention due to their low temperature process, low cost, simple process, easy to manufacture . In this paper, we use organic semiconductor such as pentacene as the active layer and fabricate “Bottom Contact”structure organic thin film transistors(OTFTs) on si wafer and glass. We probe into the variation of device characteristic under different deposition conditions and use Atomic Force Microscope (AFM) and HP4156 to find the surface Morphology such as grain size, grain boundary and electronic characteristic. We find the condition that deposit under low deposition rate=0.1/sec, and surface temperature 70℃ has the most grain size and the best Electric Characteristics (on/off ratio=105, S.S=0.5(V/Dec.), VTH=-5.8V, mobility = 0.103cm2/v.s) . Finally we successfully fabricate“ Top contact”and“Bottom Contact”structure organic thin film transistors(OTFTs) with HSQ(hydrogen silsesquioxane) insulator on steel plates to compare to the variation of two structure and in order to be the reference of Bending experiment in the future.
APA, Harvard, Vancouver, ISO, and other styles
33

Triska, Joshua B. "Atomic layer deposition of nanolaminate high-κ gate dielectrics for amorphous-oxide semiconductor thin film transistors." Thesis, 2011. http://hdl.handle.net/1957/21720.

Full text
Abstract:
Nanolaminate dielectrics combine two or more insulating materials in a many-layered film. These structures can be made to significantly outperform films composed of a single one of their constituent materials by adjusting the composition ratio, arrangement, and size of the component layers. In this work, atomic layer deposition (ALD) is used to fabricate pure-oxide and nanolaminate dielectrics based upon Al₂O₃ and ZrO₂. The relative performance of these dielectrics is investigated with respect to application as gate dielectrics for ZnSnO (ZTO) and InGaZnO (IGZO) amorphous-oxide-semiconductor (AOS) thin-film transistors (TFTs). AOS TFTs are promising candidates for commercial use in applications such as active-matrix displays and e-paper. It was found that the layer thickness, relative composition, and interfacial material all had an effect on TFT performance. Several variants of the Al₂O₃/ZrO₂ nanolaminate were found to exhibit superior properties to either Al₂O₃ or ZrO₂ alone.
Graduation date: 2011
APA, Harvard, Vancouver, ISO, and other styles
34

Kuan, Ting-chun, and 管挺鈞. "Electrical Characterization of CiPVP Dielectric Layer and its Application on Organic Thin-Film Transistors." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/04556992444878547761.

Full text
Abstract:
碩士
國立中央大學
電機工程研究所
98
In recent years, organic thin-film-transistor attracts attention in both industrial and academic fields. Because low in production cost and high in ductility, it can be applied to electronic paper easily, combined with innovation. Still, organic semiconductors have its’ own problems: the mobility is not fast enough, and the compactness of organic dielectric layer is not as good as inorganic material as well. Therefore, organic thin-film-transistor still needs to improve itself on these areas. How to improve its performance to be good as inorganic thin-film-transistors is one of the main topics. In this paper, we focused on organic material in dielectric layer (CiPVP) to do a complete assessment of electrical and reliability. The main purpose of developing CiPVP materials is to achieve more stable reliability by its excellent resistance to water and oxygen features. By a series of electrical characterization, we can understand whether organic dielectric layer can well resist water and oxygen features when it is applied to organic thin-film-transistor and its electrical characteristic. Electrical tests show that CiPVP applied to metal- dielectric layer-metal diode and organic thin-film-transistors is worse than common dielectric layer material, PVP. Because of the poor surface roughness and compactness of CiPVP, there are more bulk trapped charges and interface trapped charges than those in PVP. Nevertheless, CiPVP has more stable electrical characteristic in thinner dielectric layer and better behavior in bias stress test and humility test when thickness changed. In conclusion, CiPVP has some problems to be solved but still enough selling points.
APA, Harvard, Vancouver, ISO, and other styles
35

Tsai, I.-Hsin, and 蔡毅昕. "Study of new solution-processable gate dielectric layer for flexible organic thin film transistors." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/89443073027685443762.

Full text
Abstract:
碩士
國立交通大學
材料科學與工程學系奈米科技碩博士班
103
Organic thin film transistors (OTFTs) are an important class of electronic devices owing to their easy processability, light weight and flexibility. They also offer a better understanding of the material properties as used in it through structure property relationship study. It can be foreseen that this new technology can play a leading role in the future studies for commercialization of electronic devices based on OTFTs. In this study, we have utilized the organic materials to fabricate flexible devices namely, metal-insulator-metal (MIM) capacitor and organic thin-film transistor (OTFT) by simple solution process. In this study, we have analyzed the potential of dielectric material PAN (Polyacrylonitrile) and PS (Polystyrene)-P123 (Pluronic® P123 Block Copolymer Surfactant) through fabrication of metal insulator metal capacitor devices. Sol gel process and spin coating techniques are applied to deposit PAN and PS-P123 dielectric layer. We have demonstrated several parameters of PAN layer fabrication conditions like solubility, concentration and annealing. After extracting best conditions from this part, we drop casted a PS-P123 layer penultimate to the PAN layer which has acted as a buffer region. This bilayer dielectric strategy has prevented the direct contact between organic semiconductor and the high k dielectric PAN, and provided a lower surface energy. The capacitor with bilayer structure have attained better electrical performance and reliability as compared to their monolayer counterparts. FTIR and contact angle analysis study has further revealed other interesting properties of the polymer dielectric materials. The conditions for fabrication of PAN and PS-P123 based bilayer dielectric was optimized before employing it further as a gate dielectric in OTFT based on DH4T (α,ω-dihexylquaterthiophene) as an active semiconducting layer. The OTFTs devices are fabricated on the flexible polyimide (PI) substrate. The best electrical performance was acquired by drop-casting the DH4T solution on PI substrate followed by annealing at 90 oC for 30 min. The ON/OFF ratio can attain 103, and the mobility is at the order of 10-2, which is comparable to the reported researches. Different top contact metals electrode are also tested, and the Ag and Au are found to be the better candidate. Several bending situation are applied, to explore the charge carrier hopping mechanism involved in deterioration of electrical properties of OTFTs. Finally, reliability experiments are conducted, which revealed that the electrical performance of OTFTs was deteriorated after five days, but the electric property can be recovered by means of re-baking the devices at the temperature of 90 oC.
APA, Harvard, Vancouver, ISO, and other styles
36

Lu, Yi-Hsien, and 呂宜憲. "Comparison of Low Temperature Thin Film Transistors with Different High-k Dielectric Layers and Conventional TEOS Silicon Dioxide Layer." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/60544032403277314846.

Full text
Abstract:
碩士
國立交通大學
電子物理系所
95
Abstract (English) In thesis, high-performance p-channel poly-Si thin-film transisitors (TFTs) are demonstrated using the different high-k dielectric with hafnium dioxide (HfO2), hafnium silicate (HfSiOX) layer are demonstrated by metal-organic chemical vapor deposition system with low-temperature processing. We compare with tetra-ethyl-oxy-silicate silicon dioxide (TEOS-SiO2) layer with the same physics thickness for our main shaft. Furthermore, the effect and reliability are also studied. It is found both the electric characteristic of high-k dielectric TFTs that improve obviously: including the lower threshold voltage, the better subthreshold swing, the higher on current. The main reason is imputed to the high capacitance density of high-k dielectric layers such that the grain boundary traps of poly-Si could be full faster and decrease the transition time exist in the poly-Si TFTs. However, the field effective mobility of HfO2 dielectric TFTs is lower due to the roughness interface between HfO2 layer and poly-Si channel and larger leakage current in the off state due to the high field near drain. Devices characteristics of different dielectric layers degrade with stress time and stress conditions. We found the HfSiO dielectrics TFTs have the better reliability due to it has the better interface ,higher crystalline temperature and lower density of states.
APA, Harvard, Vancouver, ISO, and other styles
37

CHANG, CHIH-WEI, and 張志偉. "Plasma Enhanced Chemical Vapor Deposited Organic Dielectric Layer Used In Flexible Organic Thin Film Transistor." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/27027121230056027661.

Full text
Abstract:
碩士
國立暨南國際大學
應用材料及光電工程學系
104
Until now, the application of display is very wide. For example, Television, Cell phone, Computer monitor, large size display and so on. The most important application is cell phone. In recent years, Cell phone becomes the most important of personal equipment because in this small machine you can do a lot of things. For instant, shopping, seeing other people's daily life, pay bill, order ticket and so on. It show the convenience of function that cell phone bring to us. The display substrate which cell phone used still hard substrate. Because of that, you will face some problems on it. The size of cell phone is too big. It can't be put in your pocket. When you sit down on the chair and you hear the voice which sounds like heartbreak. You may know that your cell phone was broken. The power consumption of Cell phone's monitor is very big .It would cause the endurance of cell phone. According to the above problems, this thesis make two research objectives in the organic thin film transistor. First is flexible. Second is low-power. In recent years, there are a lot of researches on P-type organic semiconductor because P-type semiconductor is stable in the atmosphere. This thesis chose Pentacene to be organic thin film transistor's semiconductor layer. In the fabrication of semiconductor layer, this thesis use thermal evaporation. It would be important to control the substrate temperature in 65℃ and maintain high vacuum. Because of low temperature of substrate, the plastic substrate can be maintained original form. When the substrate temperature is too high, it would cause the plastic distorted or deform. In the fabrication process of insulator layer, this thesis use plasma enhanced chemical vapor deposition. Because semiconductor layer use organic material, this thesis use organic material in the insulator to enhance the adhesion of semiconductor layer and insulator layer. For the same reason that in fabrication of plastic substrate, the substrate temperature control in the room temperature and maintain low vacuum. Use TMAl to be precursor in fabrication of organic insulator. Through the changes of parameters(RF power、N2 flow rate、TMAl flow rate、Time、Vacuum、Mixed Temperature). After many experiment, the parameters of RF power 100W、N2 flow rate 10mln/min、TMAl flow rate 5 g/h、20min、Mixed Temperature 130℃ could make the thin film's capacitance which higher than SiO2. In order to make sure that the organic thin film transistor can work. This thesis starts from silicon hard substrate. When the silicon based organic thin film transistor can work, it can be continue to the application of ITO flexible substrate. According to the above conditions, the organic thin film transistor can be fabricated. The device efficiency index of mobility、on/off rate、threshold voltage can be measure to be 230.2 cm2/Vs、-1.3418 V、500 and have the property of flexible.
APA, Harvard, Vancouver, ISO, and other styles
38

Jiang, Yi-Da, and 江依達. "Studies on effects of dielectric layer material in the performance of polymer thin film transistor." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/60420644223750581396.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Hung, Po-Cheng, and 洪柏誠. "Modify Surface of dielectric layer on polymer thin-film transistors by atmospheric-pressure plasma technology." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/93850049071856951740.

Full text
Abstract:
碩士
國立交通大學
電機學院電子與光電學程
99
A procedure is using the spin coat way twists the organic thin film transistor can gain the advantage which is large area and lower cost in manufacturing. Because there is a close relations among the organic thin film transistor's potency, the semiconductor and the dielectric level's interface characteristic. Therefore the research objective of the study is to present the improvement characteristic of the organic thin film transistor by the affiliation control semiconductor and the dielectric level chemical characteristic. And because the silicon dioxide manufacture and the interface change the nature is easy, we choose the thermal oxidization, the silicon dioxide, to be our dielectric level. High regioregularity poly(3-hexylthiophene) has the high crystallization arrangement characteristic, will provide the thin transistor part high electronic mobility, therefore it is selected to be the part semiconductor level. Through hexamethyldisilazane (HMDS) the oxide layer interface which will process from the assembly level institute, the P3HT thin film transistor will obtain the distinct improvement. We will use the to atmospheric-pressure plasma technology (APPT) to realize interface processing. And atmospheric-pressure plasma technology (APPT) can be used under the atmospheric pressure, simultaneous regulation temperature below 120 ℃. May see these surface treatment from now on electrical specification in this article. Processing from now on threshold voltage may drop to the - 9 volts, field-effect mobility also to promote by original 1.9×10-3cm2/Vs to 2.62×10-2cm2/Vs. That can confirm the atmospheric pressure plasma system, can provide the low temperature, and the high efficiency's organic thin film transistor interface to change the nature system regulation.
APA, Harvard, Vancouver, ISO, and other styles
40

Bo-YunHuang and 黃柏允. "Studies of the dielectric buffer layer effects on the ambipolar organic thin-film transistors and applications." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/14271295106235516801.

Full text
Abstract:
碩士
國立成功大學
光電科學與工程學系
104
This thesis investigated the effect of gate dielectric buffer layers on the electrical characteristics of ambipolar organic field-effect transistors (OFETs) and their applications. The pentacene-based ambipolar OFETs with a linear polyethylenimine (LPEI) buffer layer on a polymethylmethacrylate (PMMA)/silicon dioxide main gate dielectric was examined. With the LPEI layer, the n-channel characteristics of the ambipolar OFETs were improved and the threshold voltage was reduced. The pentacene/LPEI interface had relatively low interfacial trap density and shorter mean interface trap time constant than the pentacene/PMMA interface. Therefore, the potential and general usage of the LPEI buffer layer for pentacene-based ambipolar OFETs can be expected to be used in electronics.
APA, Harvard, Vancouver, ISO, and other styles
41

Wang, Hsin-I., and 王欣怡. "Moisture Existence and Hydrophilic Material of Dielectric Layer Affect Ammonia Sensing of Organic Thin Film Transistor." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/62145719599745273299.

Full text
Abstract:
碩士
國立交通大學
分子醫學與生物工程研究所
99
Liver disease is common in Taiwan. Most people infected with hepatitis B or C develop chronic liver disease; less cases of liver disease are caused by alcoholism and fatty liver diseases. Cirrhosis is a consequence of chronic liver disease characterized by replacement of liver tissue by fibrous scar tissue. Cirrhosis is generally irreversible and no has ideal medication for therapy. When the liver is dysfunctional, nitrogen compounds cannot be metabolized to ammonium (NH4+); however, ammonia (NH3) is an important indicator for chronic liver disease. Reports have shown that breath ammonia levels are significantly higher in cirrhotic patients (0.745 ppm) than in healthy subjects (0.278 ppm). Organic thin film transistor (OTFT) is a promising non-invasive, inexpensive, portable, and disposable diagnostic device because of its low-cost fabrication process and high-sensitivity to gas molecules. In our previous study, the OTFT sensor was sensitive to ammonia gas of 0.5 ppm (parts per million), and did not respond to carbon dioxide, ethanol, formaldehyde or methane. Ammonia is highly soluble in water and binds with water molecules to form ammonium (NH4+). We propose that ammonium is a major factor affecting the sensing ability of OTFT. In this study, we raised water vapor content to form more ammonium ions and enhance the change of electrical characteristics. Second, we chose two materials, PMMA and PVP as a dielectric layer of OTFT. Based on the fact that PVP is more hydrophilic, we discovered that raising water vapor content enhances the ammonia sensing ability of OTFT.
APA, Harvard, Vancouver, ISO, and other styles
42

Hsu, Yu-Yi, and 許有毅. "Dendritic dielectric layer with urea/malonamide linkage for air stable n-channel organic thin film transistors." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/45431773964545160696.

Full text
Abstract:
碩士
國立臺灣大學
高分子科學與工程學研究所
104
A series of urea/malonamide dendritic molecules were prepared as gate dielectric insulator for organic thin film transistors (OTFTs). The series of molecules possess various numbers of peripheral stearyl groups with the different degrees of branching (from low to high: G0.5, G1, G1.5, G2 and G2.5). In addition, several tetracarboxylic diimides derivatives such as NDI-C4F7, NDI-C7F9, PDI-C4F7 and PDI-C7F9 with fluorinated alkyl end groups were used as semiconducting layers of OTFT due to the good stability in air. Numbers of n-channel OTFTs were fabricated by spinning the dendritic gate insulators on Si/SiO2 substrates, and then depositing the semiconducting layers in vacuum. This type of OTFTs with G1.5 as gate dielectric insulator showed the enhancement of electron mobility about 1-2 order than the device modified by octadecyltrichlorosilane (ODTS) . In particular, the device with G1.5 as insulator and PDI-C4F7 as semiconductor exhibited the best n-channel properties. The electron mobility and on/off ratio measured in the air were 3.80 cm2V-1s-1 and 7.7 x 103, respectively. Vth shift (Vide infra) The investigation of the influence on semiconducting layers was performed by atomic force microscopy (AFM) and Grazing incidence wide-angle X-ray scattering (GIWAXS). In fact, the film quality of gate insulators is dependent on the thermal properties, surface energies and generation of dendrons. Better film quality would favor the ordered arrangement of semiconducting molecules, hence the properties of gate insulator such as contact angle, dielectric constant and thermal stabilities were also studied in this work.
APA, Harvard, Vancouver, ISO, and other styles
43

Wang, Tuo 1983. "Atomic layer deposition of amorphous hafnium-based thin films with enhance thermal stabilities." Thesis, 2010. http://hdl.handle.net/2152/ETD-UT-2010-12-2059.

Full text
Abstract:
The continuous scaling of microelectronic devices requires high permittivity (high-k) dielectrics to replace SiO₂ as the gate material. HfO₂ is one of the most promising candidates but the crystallization temperature of amorphous HfO₂ is too low to withstand the fabrication process. To enhance the film thermal stability, HfO₂ is deposited using atomic layer deposition (ALD), and incorporated with various amorphizers, such as La₂O₃, Al₂O₃, and Ta₂O₅. The incorporation is achieved by growing multiple ALD layers of HfO₂ and one ALD layer of MO[subscript x] (M = La, Al, and Ta) alternately (denoted as [xHf + 1M]), and the incorporation concentration can be effectively controlled by the HfO₂-to-MO[subscript x] ALD cycle ratio (the x value). The crystallization temperature of 10 nm HfO₂ increases from 500 °C to 900 °C for 10 nm [xHf + 1M] film, where x = 3, 3, and 1 for M = La, Al, and Ta, respectively. The incorporation of La₂O₃, and Ta₂O₅ will not compromise the dielectric constant of the film because of the high-k nature of La₂O₃, and Ta₂O₅. Angle resolved X-ray photoelectron spectroscopy (AR-XPS) reveals that when the HfO₂-to-MO[scubscript x] ALD cycle ratio is large enough (x > 3 and 4 for La and Al, respectively), periodic structures exist in films grown by this method, which are comprised of repeated M-free HfO₂ ultrathin layers sandwiched between HfM[subscript x]O[scubscript y] layers. Generally, the film thermal stability increases with thinner overall thickness, higher incorporation concentration, and stronger amorphizing capability of the incorporated elements. When the x value is low, the films are more like homogeneous films, with thermal stabilities determined by the film thickness and the amorphizer. When the x value is large enough, the periodically-repeated structure may add an extra factor to stabilize the amorphous phase. For the same incorporation concentration, films with an appropriately high periodicity may have an increased thermal stability. The manner by which the periodic structure and incorporated element affect thermal stability is explored and resolved using nanolaminates comprised of alternating layers of [scubscript y]HfO₂ and [xHf + 1M] × n, where y varied from 2 to 20, x varied from 1 to 2, and n varied from 4 to 22.
text
APA, Harvard, Vancouver, ISO, and other styles
44

Li, Ying-Hsin, and 李盈欣. "Effects of the PVP Dielectric Layer Structures on the Performance of Pentacene-based Organic Thin-Film Transistors." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/49433798591440003592.

Full text
Abstract:
碩士
國立成功大學
電機工程學系碩博士班
96
The treatments of the poly(4-vinylphenol) (PVP) dielectrics on the performance of Pentacene-based organic thin-film transistor (OTFT) will be investigated. Generally, OTFTs suffer from the hysteresis phenomenon while using the single PVP dielectric. However, the OTFTs based on the double PVP dielectrics, which deposit on the gate electrode sequentially, could show lower levels of hysteresis. Comparing the device with single- and double-PVP dielectrics, hysteresis decreased from 9 V to 1 V. We supposed that the decreased hysteresis was due to the longer curing time of the double-PVP dielectrics. The experimental results showed that the curing time of PVP dielectrics significantly affected hysteresis, and as such, the hydroxyl groups existing inside the PVP bulk resulted in hysteresis. From Fourier Transform Infrared Spectroscopy (FTIR) measurement, the hydroxyl group’s amount inside the PVP dielectric decreased as the curing time increased, thereby further decreasing the hysteresis phenomenon of OTFTs. By the way, comparing the single and double PVP dielectric layer structures, the leakage current can be greatly improved from 10-6 Acm-2 to 10-8 Acm-2.It indicates the double PVP dielectrics are more suitable for MOS capacitor. Using the PVP dielectrics without cross-linked agent to modify the surface of PVP dielectrics is found to improve the transistor performance significantly. Compared the transistors without and with cross-linked agent, the maximum saturation current can be improved from 27.6 μA to 83.1 μA while the mobility can be enhanced from 0.19 to 0.61 cm2V-1s-1. This can be partly attributed to the smoother surface of the un-cross-linked dielectrics. In addition, the surface wetting property of un-cross-linked PVP dielectrics is closer to that of Pentacene layer. It indicated the un-cross-linked PVP dielectrics are suitable for the buffer layer to improve the Pentacene-based thin film transistor performance.
APA, Harvard, Vancouver, ISO, and other styles
45

Weng, Chao-Yin, and 翁兆垠. "Investigation on the preparing of Amorphous-alumina oxide dielectric layer by RF Sputtering for thin flim transistor." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/ycb96e.

Full text
Abstract:
碩士
國立中興大學
光電工程研究所
107
This study is the fabrication analysis and application of thin film transistors with amorphous alumina as the gate dielectric layer. First, improving the defects into amorphous alumina (α-Al2O3) is critical of the experiment. Therefore, it is hoped that the heat treatment method after the process will further improve the leakage current quality of the film and repair the film defects by the RF sputtering. In order to apply to the amorphous indium gallium zinc as the channel layer of the thin film transistor. Initially, by adjusting the gas component to achieve expectation of the electrical target, and then changing the process time to control the expected film thickness. Finally using the current-voltage and capacitance-voltage analysis is to investigate the film properties, current transmission mechanism and the effect and interface problems between the thin film and the semiconductors. It can be clearly seen from the hysteresis curve that the film annealing hysteresis is effectively improved. The study selected RF power of 150 watts, argon oxygen flow rate of 20 SCCM, background pressure of 5 mTorr, temperature of 330 ° C, and sputtering times of 52 minutes. For the best film parameters, we demonstrate the thin flim transistor with 139nm α-Al2O3 and characteristics: carrier mobility9.834cm2/V*s, S.S 0.2V/dec , on/off ratio 4.1*102 and threshold voltage 2.5V .
APA, Harvard, Vancouver, ISO, and other styles
46

Chen, Pei-Wen, and 陳沛汶. "The Effects of Solvent Content on Degree of Photo Curing for Dielectric Layer of Organic Thin Film Transistor." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/40741040954048604066.

Full text
Abstract:
碩士
國立臺灣科技大學
化學工程系
104
The photo curing is an important process in polymer industrial, including the preparation of organic thin film transistor (OTFT). In this study, the effects of solvent content and light intensity on degree of photo curing for dielectric layer of OTFT are investigated in detail. The formulation of dielectric layer, D3000C-1-2, is provided by Taiwan Polyera Corporation for academic study. In this work, the polymer film was prepared via spin coating step. An electronic balance was applied to monitor the weight loss of wet polymer film during the dry period. The vapor composition of formulation was analyzed by gas chromatography equipped with mass spectrometer (GC-MS). The solidification and crosslink reaction of polymer will be initiated under illumination. The functional groups of polymer film were identified with using Fourier transform infrared spectrometer (FTIR) in different preparation conditions. The result indicates that the solvent evaporation rate is rapid in the beginning, then it will gradually slow down. The major vaporized substance in formulation is propylene glycol monomethyl ether acetate (PGMEA). The molecular structure of polymer film in the directly irradiated region is not affected by the amount of solvent in formulation due to the application of large illumination energy. However, the photo curing area on the indirectly irradiated region is increased with the decrease of solvent content, resulting from the effect of light reflection and scattering from the substrate surface. The amount of PGMEA is the key factor in photo-curing reaction. The spread of curing area can be suppressed effectively the scattering and reflection light are eliminated, and the resolution of pattern on the film will be improved.
APA, Harvard, Vancouver, ISO, and other styles
47

Huang, Yi-Hsing, and 黃以欣. "Silk Fibroin as Gate Dielectric Layer for Organic Thin-Film Transistors based on Conjugated Polymer by Thermal Treatment." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/4a9xgx.

Full text
Abstract:
碩士
國立臺北科技大學
有機高分子研究所
101
In this thesis, the morphology, structure transformation and electric properties of organic thin-film transistors (OTFTs) with biodegradable silk fibroin as dielectric layer by controlling different annealing temperature are investigated. We used silk fibroin as the dielectric layer for conjugated polymer, P3HT, based the OTFTs since it is a strong candidate to simplify the fabrication process and decrease fabrication cost in OTFTs. Silk fibroin, a biomaterial, is biodegradable, biocompatible and not requiring chemical synthesis. In our study, we investigated the influence of different annealing temperatures on P3HT based OTFTs using silk fibroin as the dielectric layer. The annealing process causes different surface morphologies and structures of silk fibroin for the dielectric layer, thereby affecting the electric properties of organic thin-film transistors. We have successfully fabricated the OTFTs based on P3HT dissolved in chlorobenzene (C6H5Cl) and 1,2,4-trichlorobeneze (C6H3Cl3) system and then used silk fibroin as dielectric layer for OTFTs. The reason to choose two kinds of solvents, C6H5Cl and C6H3Cl3, for dissolving P3HT is to prove that the annealing process can make a strong effect on silk fibroin characteristic even with different solvents. Especially, we notice that when the silk fibroin was annealed at 40 oC temperature, the surface morphology of silk fibroin has the smallest particles and aggregation. The calculated field-effect mobility is 2.06×10-3 cm2/VS (in the 1,2,4-trichlorobeneze solvent system) and the typical on/off ratio is around 103, which is the best performance for P3HT based OTFTs device. The advantages of using biological materials, silk protein dielectric layer are lower-cost, low temperature manufacturing, environment-friendly and high efficiency. The research outcome provides the opportunity for sustainable and environmentally interactive devices which can be employed for photonics, electronics and optoelectronics industries.
APA, Harvard, Vancouver, ISO, and other styles
48

Huang, Chiung-Hui, and 黃瓊慧. "The studies of dielectric loss and mechanical stress of barium strontium titanate thin films by adding chromium Layer." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/67727029516914924624.

Full text
Abstract:
碩士
國立勤益科技大學
機械工程系
101
The effects of the thickness of the Cr seeding layer of Ba0.5Sr0.5TiO3 (BST) thin films grown on Pt/TiN/SiO2/Si substrates on crystallographic structure, surface morphology, dielectric loss, leakage current, and mechanical stress were investigated. Adding a Cr seeding layer to the interface between BST/Pt structures has a strong influence on BST film properties including dielectric loss, leakage current, the temperature coefficient of capacitance (TCC), and mechanical properties, as well as films grain sizes. BST films with a 2 nm Cr seeding layer showed grains that were denser, smoother, and smaller in size than those in specimens with the Cr seeding layer thickness = 0, 1, and 3 nm. The dielectric loss, leakage current density, thermal stability (TCC), Young’s modulus, and residual stress of BST films with a 2 nm Cr seeding layer are improved by about 59%, 1 order of magnitude at +62.5 kV/cm (at +1 V), 35 %, 41 %, and 28 %, respectively, compared with BST films without a Cr seeding layer. The mechanical stress of BST films had a significant effect on both microstructure and dielectric properties. It was observed that the residual stress of the BST/Pt interface was effectively reduced by adding a Cr seeding layer. The correlations of material properties with dielectric loss, leakage current, thermal stability, and residual stress properties suggest that adding a 2 nm Cr seeding layer to BST films is the optimal choice for metal-insulator-metal (MIM) device applications.
APA, Harvard, Vancouver, ISO, and other styles
49

Shih, Chang-Wei, and 石張瑋. "Study of the characteristics of the gate dielectric layer of organic thin film transistors fabricated with the organic/inorganic materials." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/06785815543758991466.

Full text
Abstract:
碩士
國立雲林科技大學
光學電子工程研究所
95
In this research, gate oxide metal/insulator/semiconductor (MIS) capacitors and gate oxide organic thin film transistors (OTFTs) are prepared. In the MIS experiments including inorganic/organic insulators. First, we mixed Ba0.7Sr0.3TiO3 (BST) inorganic solution by sol-gel method, and coated it on n-type (100) silicon substrate by spin-coating method, then placed samples in the annealing furnace (with annealing temperature of 100℃, 300℃, 500℃, and 700℃) at oxygenic atmosphere to form the high dielectric constant (high-k) BST insulator. Second, we mixed PHEMA organic solution (weight percentage, Wt%: 2.5% and 5% and 7.5% and 10%) with alcohol, and coated that on n-type (100) silicon by the same spin-coating method, then placed samples in the oven to dry by heat and formed the PHEMA insulator. Finally, we used evaporator to deposit aluminum (Al) electrode above the insulator, then the MIS capacitors are formed. We used KEITHLEY 236/590 to measure the C-V and J-V characteristics of MIS capacitors and used atomic force microscope (AFM) to analyze the surface state of insulator, then analyzed the effects between different insulators and characteristics of MIS capacitors. The MIS capacitors Al/BST/Si/Al and Al/PHEMA/Si/Al and Al/BST/PHEMA/Si/Al are shown. From the results of one-layer BST insulator’ condition (after annealing 700℃), the best data for average capacitance is 151.21 pF, for dielectric constant (k) is 45.31, for the physical thickness is 130.2 nm. The leakage current density (J = 2.03×10-8 A/cm2) of double-layer PHEMA/BST insulator’ condition (Wt% = 2.5% / after annealing 700℃) is smaller than the one (J = 5.2×10-1 A/cm2) of one-layer PHEMA insulator’ condition (Wt% = 2.5%) about seven order, so the leakage current can be reduced obviously by the high-k BST insulator. In the OTFT experiment, we analyzed the effects between different insulator’s conditions and characteristics of OTFT devices. The devices have four major layers: gate electrode (Al), gate insulators (BST and PHEMA and PHEMA/BST), organic active layer (Pentacene) and source/drain electrode (Ni). We used HP4156C analyzer to measure the I-V properties (I¬D-VD and Log|ID|-VD and ID-VG) and used AFM to analyze the surface state of pentacene. Results of the OTFT devices Ni/Pentacene/BST/Si/Al and Ni/Pentacene/PHEMA/Si/Al and Ni/Pentacene/PHEMA/BST/Si/Al are shown. From the results of double-layer PHEMA/BST insulator’ condition (Wt% = 2.5% / after annealing 700℃), the best data for (On/Off current ratio (IOn/IOff) is 94.171, for carrier mobility (μ) is 1.49 cm2/V.s, for threshold voltage (VT) is 1.328 V, for the biggest grain size is 1.08 μm and the largest operation current (IDsat = 5.92 μA) at the same channel’ condition (channel width is 1.5 mm and channel length is 0.1 nm). For the one-layer BST insulator’ condition (after annealing 700℃), the IOn/IOff = 3.485, μ = 0.0362 cm2/V.s, VT = -1.277 V, the grain size is 0.118 μm, and IDsat = 1.86 μA are obtained. For the one-layer PHEMA insulator’ condition (Wt% = 2.5%), the IOn/IOff = 81.138, μ = 0.272 cm2/V.s, VT = 0.85 V, the grain size is 0.637 μm, and IDsat = 3.68 μA are obtained. For the one-layer PHEMA insulator’ condition (Wt% = 10%), the IOn/IOff = 91.071, μ = 2.78 cm2/V.s, VT = 2.91 V, the grain size is 0.677 μm, and IDsat = 4.93 μA are obtained. According to the results of OTFTs, the gate insulator of OTFT devices fabricated with inorganic and organic materials have the advantages of high carrier mobility in organic material and low leakage current in high-k inorganic material. And bigger grain size of pentacene can be deposited on suitable hydrophobic surface between pentacene and insulator in organic material.
APA, Harvard, Vancouver, ISO, and other styles
50

Wang, Ching-Han, and 王靜涵. "The highly sensitive and electrical performance of ZnO-based thin-film transistor with high-k ZrO2 material dielectric layer for gas sensing." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/wt38c4.

Full text
Abstract:
碩士
國立勤益科技大學
電子工程系
106
In recent years, the trend of Moore's Law, the smaller and smaller the transistor, the short channel effect caused by the problem, high-K materials have gradually been paid attention to, metal oxide semiconductor compounds due to its high electron mobility, good uniformity and transparency, in the large The area of the channel layer made of thin film transistors (TFTs) has caused a wide range of interest. In this study, the highly performance TFTs was completed using the facile sol-gel method. The manufacturing was entirely made in a non-vacuum environment and completed at a controlled temperature, to deposit zinc oxide (ZnO) material as channel layer and zirconium oxide (ZrO2) material as dielectric layer in TFTs. Further, we have reported the effect of different post-annealing temperatures on electrical characteristics of TFTs such as their Ion / Ioff current ratio, subthreshold slope and leakage current.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography