Journal articles on the topic 'Dielectric Constant'

To see the other types of publications on this topic, follow the link: Dielectric Constant.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Dielectric Constant.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Singh, Rajenda, and Richard K. Ulrich. "High and Low Dielectric Constant Materials." Electrochemical Society Interface 8, no. 2 (June 1, 1999): 26–30. http://dx.doi.org/10.1149/2.f06992if.

Full text
Abstract:
Silicon-based dielectrics (SiO2, Si3N4, SiOxNy etc.) have been widely used as the key dielectrics in the manufacturing of silicon integrated circuits (ICs) and virtually all other semiconductor devices. Dielectrics having a value of dielectric constant k × 8.854 F/cm more than that of silicon nitride (k > 7) are classified as high dielectric constant materials, while those with a value of k less than the dielectric constant of silicon dioxide (k < 3.9) are classified as the low dielectric constant materials. The minimum value of (k) is one for air. The highest value of k has been reported for relaxor ferroelectric (k = 24,700 at 1 kHz).
APA, Harvard, Vancouver, ISO, and other styles
2

Biju, Anjitha, Maria Joseph, V. N. Archana, Navya Joseph, and M. R. Anantharaman. "High Dielectric Constant Liquid Dielectrics Based on Magnetic Nanofluids." Journal of Nanofluids 12, no. 4 (May 1, 2023): 1141–50. http://dx.doi.org/10.1166/jon.2023.1973.

Full text
Abstract:
Magnetic nanofluids are increasingly finding new applications. They can be employed as liquid dielectrics. The advantage of having a liquid dielectric is that high dielectric constant can be achieved by a judicious choice of the base liquid. The dielectric constant can be tuned with the help of an external magnetic field too. Superparamagnetic iron oxide nanoparticles were dispersed in polar carriers, namely water, polyvinyl alcohol, ethylene glycol, and a nonpolar carrier like kerosene to obtain stable magnetic fluids after ensuring the crystallographic phase purity along with appropriate magnetic characteristics of the dispersant. The fluids were then subjected to dielectric studies using an automated homemade dielectric setup. The dielectric permittivity and dielectric loss at different frequencies with and without an external magnetic field were evaluated. The studies indicate that magnetic nanofluids based on polar carriers are excellent liquid dielectrics over a wide range of frequencies with the incorporation of iron oxide nanoparticles. The application of an external magnetic field enhances the dielectric constant considerably. These magnetic nanofluids can be employed as liquid dielectrics for applications. It has been found that kerosene based magneto fluids have a low dielectric constant while Polyvinyl alcohol based fluids exhibit the highest dielectric constant.
APA, Harvard, Vancouver, ISO, and other styles
3

Kalyane, Sangshetty. "Dielectric Constant Study of Polyaniline – CeO2 Composites." Indian Journal of Applied Research 3, no. 6 (October 1, 2011): 1–2. http://dx.doi.org/10.15373/2249555x/june2013/181.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Ling, H. C., M. F. Yan, and W. W. Rhodes. "High dielectric constant and small temperature coefficient bismuth-based dielectric compositions." Journal of Materials Research 5, no. 8 (August 1990): 1752–62. http://dx.doi.org/10.1557/jmr.1990.1752.

Full text
Abstract:
We have studied the crystal structure and the dielectric properties of a scries of Bi-based ceramic compositions as a function of compositional variation and sintering temperature. These dielectrics have dielectric constants hetween 70 and 165 and their temperature coefficients are within ±500 × 10−6/°C. The precise temperature coefficient can be controlled via compositional changes such that dielectrics with temperature coefficients within ±50 × 10−6/°C are easily obtainable. The room temperature dissipation factor is smaller than 0.001 or equivalently, the Q value is greater than 1000. The electrical resistivity is greater than 1014 ohm-cm. Furthermore, these dielectrics are sinterable below 960 °C, rendering it possible to use silver or high silver metallization as the internal electrode in making the multilayer ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
5

Mital, Prem Bhushan. "An Experimental Study of Curved Rectangular Microstrip Antenna in Simulated Plasma Medium." Active and Passive Electronic Components 19, no. 2 (1996): 119–23. http://dx.doi.org/10.1155/1996/26187.

Full text
Abstract:
The effect of plasma on the radiation characteristics of curved rectangular microstrip antenna is studied by means of a new plasma simulation technique. Unlike previous techniques [1,2], a relative index of refraction less than unity is obtained by representing free space with a high dielectric constant sodium chloride powder and plasma by a medium of lower dielectric constant (air). A wide range of dielectric constants of simulated plasma could be possible with this technique using solid dielectrics instead of liquids. It is observed that the resonance frequency is not affected by the curvature of the antenna. However radiation patterns are significantly affected.
APA, Harvard, Vancouver, ISO, and other styles
6

Endo, Kazuhiko. "Fluorinated Amorphous Carbon as a Low-Dielectric-Constant Interlayer Dielectric." MRS Bulletin 22, no. 10 (October 1997): 55–58. http://dx.doi.org/10.1557/s0883769400034217.

Full text
Abstract:
Low-k organic polymers such as polytetrafluoroethylene (PTFE) are promising materials for use as interlayer dielectrics (ILD) because their dielectric constants are generally lower than those of inorganic materials. However poor adhesion with Si substrates, poor thermal stability, and production difficulties have hindered their use in microelectronics.On the other hand, plasma-enhanced chemical vapor deposition (PECVD) of polymer films (plasma polymerization) has many advantages that help to overcome these problems. Plasma-enhanced chemical vapor deposition uses a glow discharge to create activated species such as radicals and ions from the original monomer, and the polymer films are deposited through various gas-phase and surface reactions of these active species, including ablation of the deposited film. No water is generated during plasma polymerization, and the influence of a solvent can be ignored. Also a layered structure that promotes adhesion can be easily fabricated by changing the source compounds.Recently the use of fluorinated amorphous carbon thin films (a-C:F) as new low-dielectric-constant interlayer dielectrics has been proposed. These thin films have an amorphous C–C cross-linked structure (including sp3 and sp2 bonded carbon) and have the same C–F bonds found in PTFE. The strong C–F bonds decrease the dielectric constant, and the C–C crosslinked structure maintains the film's thermal stability. The a-C:F film can be deposited from fluorocarbon source materials using PECVD. Typically fluorocarbons such as CF4, C2F6, C4F8, and their hydrogen mixtures are used as source materials. First the a-C:F films for low-k ILD, with a dielectric constant of 2.1, were deposited from CH4 + CF4 mixtures by using parallel-plate PECVD.
APA, Harvard, Vancouver, ISO, and other styles
7

Baklanov, Mikhail R., and Karen Maex. "Porous low dielectric constant materials for microelectronics." Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences 364, no. 1838 (November 29, 2005): 201–15. http://dx.doi.org/10.1098/rsta.2005.1679.

Full text
Abstract:
Materials with a low dielectric constant are required as interlayer dielectrics for the on-chip interconnection of ultra-large-scale integration devices to provide high speed, low dynamic power dissipation and low cross-talk noise. The selection of chemical compounds with low polarizability and the introduction of porosity result in a reduced dielectric constant. Integration of such materials into microelectronic circuits, however, poses a number of challenges, as the materials must meet strict requirements in terms of properties and reliability. These issues are the subject of the present paper.
APA, Harvard, Vancouver, ISO, and other styles
8

Ghule, B., and M. Laad. "Polymer Composites with Improved Dielectric Properties: A Review." Ukrainian Journal of Physics 66, no. 2 (March 4, 2021): 166. http://dx.doi.org/10.15407/ujpe66.2.166.

Full text
Abstract:
Materials exhibiting high dielectric constant (k) values find applications in capacitors, gate dielectrics, dielectric elastomers, energy storage device, while materials with low dielectric constant are required in electronic packaging and other such applications. Traditionally, high k value materials are associated with high dielectric losses, frequency-dependent dielectric behavior, and high loading of a filler. Materials with low k possess a low thermal conductivity. This creates the new challenges in the development of dielectric materials in both kinds of applications. Use of high dielectric constant filler materials increases the dielectric constant. In this study,the factors affecting the dielectric constant and the dielectric strength of polymer composites are explored. The present work aims to study the effect of various parameters affecting the dielectric properties of the materials. The factors selected in this study are the type of a polymer, type of a filler material used, size, shape, loading level and surface modification of a filler material, and method of preparation of the polymer composites. The study is focused on the dielectric enhancement of polymer nanocomposites used in the field of energy storage devices. The results show that the core-shell structured approach for high dielectric constant materials incorporated in a polymer matrix improves the dielectric constant of the polymer composite.
APA, Harvard, Vancouver, ISO, and other styles
9

Mandrić Radivojević, Vanja, Slavko Rupčić, Mario Srnović, and Goran Benšić. "Measuring the Dielectric Constant of Paper Using a Parallel Plate Capacitor." International journal of electrical and computer engineering systems 9, no. 1 (2018): 1–10. http://dx.doi.org/10.32985/ijeces.9.1.1.

Full text
Abstract:
This article is a result of measuring the dielectric constant of a dielectric used in studying the influence of dielectrics on the antennae reflection coefficients. A paper having a density of 0.797 g/cm3, moisture content of 0% and temperature of 210C, is used as a dielectric. Although the literature provides a lot of data on the dielectric properties of wood and paper, without direct measurement of the dielectric constant it is impossible to know its amount for the dielectric used in the defined frequency range. Dielectric constant measurements are performed in the frequency range from 100 Hz to 100 kHz, while the frequency range of its impact on the aperture antenna reflection coefficients is up to 2 GHz. The frequency range from 100 KHz to 10 GHz is interpolated and fitted by using measurements and available literature data and by respecting physical influences and phenomena and functional changes of the dielectric constant of paper within the given range
APA, Harvard, Vancouver, ISO, and other styles
10

Guo, Dong, Zhi Yuan Ling, and Xing Hu. "Low Temperature Sintering Ba3Ti5Nb6O28 Ceramics with Tunable Temperature Coefficient of Dielectric Constant." Key Engineering Materials 368-372 (February 2008): 170–72. http://dx.doi.org/10.4028/www.scientific.net/kem.368-372.170.

Full text
Abstract:
A middle permittivity dielectrics with the tunable temperature coefficient of dielectric constant (τε) in the BaO-TiO2-Nb2O5 system, Ba3Ti5Nb6O28, has been synthesized and characterized. The dielectric properties of Ba3Ti5Nb6O28 measured at 1MHz are as follows: dielectric constant (εr) ~38, dielectric loss (tanδ)<0.0002, temperature coefficient of dielectric constant (τε)~-22ppm/°C. The Ba3Ti5Nb6O28 phase satisfies the requirements of NP0 (MLCC) dielectrics, but the sintering temperature of the Ba3Ti5Nb6O28 phase (1250~1300°C) is too high to be co-fired with Ag or Cu electrodes. To lower the sintering temperature, an appropriate amount of ZnO-B2O3 frit (5~7wt.%) was added to the Ba3Ti5Nb6O28 phase and dense ceramics were obtained at the sintering temperature lower than 1000°C. Furthermore, the CaNb2O6 phase with the positive τε of 65ppm/°C was incorporated into the Ba3Ti5Nb6O28 phase to adjust the temperature coefficient of dielectric constant from negative to positive(-22~30ppm/°C). Near zero τε ceramics with high εr (38) and low tanδ (0.0002) were obtained at the composition of Ba3Ti5Nb6O28/ CaNb2O6/ ZB frit=76:17:7 wt.%.
APA, Harvard, Vancouver, ISO, and other styles
11

Silva Neto, L. P., J. O. Rossi, and A. R. Silva. "Applications of PZT Dielectric Ceramics in High-Energy Storage Systems." Materials Science Forum 727-728 (August 2012): 505–10. http://dx.doi.org/10.4028/www.scientific.net/msf.727-728.505.

Full text
Abstract:
The barium and strontium titanate (BST) ceramics have been used with great success as excellent dielectrics in the construction of high voltage (HV) commercial ceramic capacitors with reduced dimensions because of their high dielectric constant. However, the main point of this paper is to investigate other type of ceramic known as PZT (Lead Zirconate Titanate) normally used as piezoelectric sensors in industrial applications. The idea herein is to use the PZT ceramics as HV dielectrics for applications in high-energy storage systems by de-poling their piezoelectric properties in order to avoid dielectric damage and losses at high frequencies. For this, de-poled PZT-4 ceramic samples (30 mm × 2 mm) were submitted to HV tests, in which their dielectric breakdown strength and dielectric constant variation with the applied voltage were assessed. These results obtained confirmed the use of PZT in applications that require reasonable dielectric constant stability (< 15 %) with voltage and HV dielectric breakdown (40 kV/cm) for compact high-energy storage devices.
APA, Harvard, Vancouver, ISO, and other styles
12

Debnath, S., Prajna P. De, and D. Khastgir. "Ambient Electrical Properties of Mica-Styrene-Butadiene Rubber Composites." Rubber Chemistry and Technology 61, no. 4 (September 1, 1988): 555–67. http://dx.doi.org/10.5254/1.3536202.

Full text
Abstract:
Abstract We have studied the effect of addition of (a) mica, (b) silane coupling agent, and (c) silane-treated mica on the ambient dielectric properties of vulcanized styrene-butadiene rubber. It is observed that both dielectric constant and dielectric loss increase as mica, silane, and silane-treated mica are added. The increase is more pronounced in the case of silane-treated mica than for the untreated mica systems. The observed values of dielectric constants are in close agreement with the calculated ones obtained from different theories of heterogeneous dielectrics. Dielectric strength shows an increasing trend in the presence of mica. At higher mica loading, D.C. conductivity decreases slightly.
APA, Harvard, Vancouver, ISO, and other styles
13

Glatzhofer, Daniel T., and Rahul S. Kadam. "Use of Electron Paramagnetic Resonance Spectroscopy to Study Dielectric Properties of Liquids." ISRN Analytical Chemistry 2012 (April 9, 2012): 1–8. http://dx.doi.org/10.5402/2012/847102.

Full text
Abstract:
The signal response of an EPR active species is attenuated by the medium it is in. Keeping all other parameters the same, the higher the dielectric constant of the medium, the lower the EPR signal response. This behavior is problematic in studying EPR active species in high dielectric media but can be capitalized upon to monitor changes in the dielectric constant or estimate the dielectric constant of the medium. Using a coaxial EPR cell design, the EPR signal of a stable nitroxyl radical compound (2,2,6,6-tetramethyl-piperidin-1-oxyl radical) in a low dielectric constant solvent in an inner tube is attenuated by the solvent present between the inner and outer tubes (jacket medium). The attenuation increases monotonically with an increase in the dielectric constant of the jacket medium. Calibration curves can be constructed using jacket media of known dielectric constants ranging from 2 to 80 and the dielectric constant of a sample used as the jacket medium can be estimated by interpolation. This technique is applied to estimate the dielectric constants and/or composition of mixed solvents and to monitor the rate of a reaction.
APA, Harvard, Vancouver, ISO, and other styles
14

Vogel, E. M., K. Z. Ahmed, B. Hornung, W. K. Henson, P. K. McLarty, G. Lucovsky, J. R. Hauser, and J. J. Wortman. "Modeled tunnel currents for high dielectric constant dielectrics." IEEE Transactions on Electron Devices 45, no. 6 (June 1998): 1350–55. http://dx.doi.org/10.1109/16.678572.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Farrokhi, Maryam, Rahim Faez, Saeed Haji Nasiri, and Bita Davoodi. "Effect of Varying Dielectric Constant on Relative Stability for Graphene Nanoribbon Interconnects." Applied Mechanics and Materials 229-231 (November 2012): 201–4. http://dx.doi.org/10.4028/www.scientific.net/amm.229-231.201.

Full text
Abstract:
The remarkable properties of graphene nanoribbons (GNRs) make them attractive for nano-scale devices applications, especially for transistor and interconnect. Furthermore, for reduction interconnects signal delay, low dielectric constant materials are being introduced to replace conventional dielectrics in next generation IC technologies. With these regards, studding the effect of varying dielectric constant (ɛr) on relative stability of graphene nanoribbons interconnect is an important viewpoint in performance evaluation of system. In this paper, Nyquist stability analysis based on transmission line modeling (TLM) for graphene nanoribbon interconnects is investigated. In this analysis, the dependence of the degree of relative stability for multilayer GNR (MLGNR) interconnects on the dielectric constant has been acquired. It is shown that, increasing the dielectric constant of each ribbon, MLGNR interconnects become more stable.
APA, Harvard, Vancouver, ISO, and other styles
16

BIJWE, JAYASHREE, and NEELAM PHOUGAT. "Dielectric Properties of Iron Phthalocyanine Compounds." Journal of Porphyrins and Phthalocyanines 02, no. 03 (May 1998): 223–30. http://dx.doi.org/10.1002/(sici)1099-1409(199805/06)2:3<223::aid-jpp69>3.0.co;2-a.

Full text
Abstract:
Monomeric and polymeric iron phthalocyanine compounds were synthesized and their dielectric properties were measured in the frequency range from 100 Hz to 10 MHz between 25 and 200 °C. The dielectric constant and dielectric loss showed strong frequency and temperature dependences. Interestingly, large dielectric constants were observed around 100 °C for both monomers and polymers. A dielectric constant as high as 5000 at 110 Hz was observed for the iron phthalocyanine polymer. The origin of the large dielectric constant in metallophthalocyanines is discussed.
APA, Harvard, Vancouver, ISO, and other styles
17

Agbabiaka, Okikiola Ganiu, Miracle Hope Adegun, Kit-Ying Chan, Heng Zhang, Xi Shen, and Jang-Kyo Kim. "BN-PVDF/rGO-PVDF Laminate Nanocomposites for Energy Storage Applications." Nanomaterials 12, no. 24 (December 19, 2022): 4492. http://dx.doi.org/10.3390/nano12244492.

Full text
Abstract:
The increasing demand for high energy storage devices calls for concurrently enhanced dielectric constants and reduced dielectric losses of polymer dielectrics. In this work, we rationally design dielectric composites comprising aligned 2D nanofillers of reduced graphene oxide (rGO) and boron nitride nanosheets (BNNS) in a polyvinylidene fluoride (PVDF) matrix through a novel press-and-fold technique. Both nanofillers play different yet complementary roles: while rGO is designed to enhance the dielectric constant through charge accumulation at the interfaces with polymer, BNNS suppress the dielectric loss by preventing the mobility of free electrons. The microlaminate containing eight layers each of rGO/PVDF and BNNS/PVDF films exhibits remarkable dielectric performance with a dielectric constant of 147 and an ultralow dielectric loss of 0.075, due to the synergistic effect arising from the alternatingly electrically conductive and insulating films. Consequently, a maximum energy density of 3.5 J/cm3—about 18 times the bilayer composite counterpart—is realized. The high thermal conductivities of both nanofillers and their alignment endow the microlaminate with an excellent in-plane thermal conductivity of 6.53 Wm−1K−1, potentially useful for multifunctional applications. This work offers a simple but effective approach to fabricating a composite for high dielectric energy storage using two different 2D nanofillers.
APA, Harvard, Vancouver, ISO, and other styles
18

Zhang, Lei, Jiale Mao, Shuang Wang, Yiting Zheng, Xiangdong Liu, and Yonghong Cheng. "Benzoxazine Based High Performance Materials with Low Dielectric Constant: A Review." Current Organic Chemistry 23, no. 7 (July 16, 2019): 809–22. http://dx.doi.org/10.2174/1385272823666190422130917.

Full text
Abstract:
Interlayer dielectrics with low dielectric constant are the key to unlock the high arithmetic speed of integrated circuit, one of the kernels of modern industry. Polybenzoxazine, derived from benzoxazine precursor, is a new generation of phenolic resin that is considered as an ideal potential candidate for electronic materials due to its unique properties. However, for developing higher speed supercomputers, the dielectric property of conventional polybenzoxazine becomes the Achilles’ heel. Fortunately, the versatile design flexibility of benzoxazine chemistry provides the possibility to reduce the dielectric constant of the material. This review focuses on the recent attempts to synthesize low dielectric benzoxazine and the properties of the corresponding polybenzoxazine materials. Methods including fluorination, hydrocarbon introduction, heterocycle generation, molecular weight tailoring, copolymerization and organic-inorganic hybridization are introduced.
APA, Harvard, Vancouver, ISO, and other styles
19

Yan, Hao, Zhi Xiong Huang, and Yan Bing Wang. "Dielectric Properties of PMN/CB/CIIR Composites." Advanced Materials Research 66 (April 2009): 234–37. http://dx.doi.org/10.4028/www.scientific.net/amr.66.234.

Full text
Abstract:
The Magnesium Niobate-Lead Titanate (PMN) / conductive carbon black (CB)/ chlorobutyl rubber(CIIR) composites were prepared by blending-vulcanization method, and the dielectric properties were studied. The results showed that the dielectric constant and dielectric loss of composites increased nonlinearly when increasing the content of PMN or CB. From the curve of dielectric constant vs frequency, it is obtained that in the frequency range from 103 to 106 Hz, the dielectric constant of PMN/CB/CIIR composites decreased with the frequency increasing and the dielectric constants are more stable under high frequency.
APA, Harvard, Vancouver, ISO, and other styles
20

Feng, Yang, Mingru Li, Kai Shang, Huan Niu, Guanghao Qu, Guanghao Lu, and Shengtao Li. "Dithioester-terminated copolymers with simultaneous high dielectric constant and breakdown strength for energy storage." Applied Physics Letters 122, no. 12 (March 20, 2023): 123902. http://dx.doi.org/10.1063/5.0144585.

Full text
Abstract:
High-energy storable polymer dielectrics are highly desirable and applicable for compact and efficient power electronic devices. However, existing polymer dielectrics suffer from either a low dielectric constant or a low breakdown strength and exhibit an extremely low energy density. Here, the reversible addition−fragmentation chain transfer polymerization and polyaddition polymerization techniques are combined to create a dithioester-terminated polythiourea (PTU)-based copolymer dielectric with an extraordinarily high energy density of 10.7 J/cm3. The end-group functionalized copolymer significantly increases the dielectric constant from 6.0 to 7.6, which enhances the breakdown strength from 264 to 563 MV/m. The theoretical analysis from the proton nuclear magnetic resonance, atomic force microscope (AFM), and the dynamics of the polarization behaviors demonstrates conformational transitions between the two distinct trans/ trans and cis/ trans thioureas, along with the formation of polar PTU nanoregions. These result in a flexible polarization reorientation process and increased dielectric constant. Meanwhile, the density functional theory calculations for the electronic structures of the block copolymers indicate that the excellent breakdown strength of the end-group functionalized copolymer is attributed to strong charge trapping from the unique dithioesters. This work proposes a strategy to achieve a simultaneous high dielectric constant and breakdown strength toward excellent energy storage performances by end-group functionalization and composition modifications.
APA, Harvard, Vancouver, ISO, and other styles
21

Hao, Tian, and Yuanze Xu. "DIELECTRIC EVIDENCE FOR THE MATERIAL DESIGN OF ER FLUIDS." International Journal of Modern Physics B 10, no. 23n24 (October 30, 1996): 2885–93. http://dx.doi.org/10.1142/s0217979296001331.

Full text
Abstract:
The dielectric and electrorheological measurements of anhydrous ER fluids based upon oxidized polyacrylonitrile and zeolite under AC electric field are described It was found that the yield strength was not only determined by dielectric constant of suspensions, but also by dielectric loss. The formulation based on Wagner model, which describes the polarization of heterogeneous dielectrics, was employed to predict the yield stress as a function of electric frequency. The good agreement between experimental and theoretical predictions indicated that conductivity of the dispersed particle and the dielectric constants of ER suspension are decisive factors in the design of ER fluids. The way of material design is discussed concerning working frequency, rate and temperature ranges
APA, Harvard, Vancouver, ISO, and other styles
22

Zhao, Cuijiao, Xiaonan Wei, Yawen Huang, Jiajun Ma, Ke Cao, Guanjun Chang, and Junxiao Yang. "Preparation and unique dielectric properties of nanoporous materials with well-controlled closed-nanopores." Physical Chemistry Chemical Physics 18, no. 28 (2016): 19183–93. http://dx.doi.org/10.1039/c6cp00465b.

Full text
Abstract:
Although general porous materials have a low dielectric constant, their uncontrollable opened porous structure results in high dielectric loss and poor barrier properties, thus limiting their application as interconnect dielectrics.
APA, Harvard, Vancouver, ISO, and other styles
23

Chaouchi, Ahcéne, Sadia Kennour, Sophie D'Astorg, Sylvain Marinel, and Mohamed Aliouat. "Low temperature sintered ZnTio3 dielectric ceramics with temperature coefficient of dielectric constant near zero." Processing and Application of Ceramics 4, no. 2 (2010): 75–80. http://dx.doi.org/10.2298/pac1002075c.

Full text
Abstract:
ZnTiO3 compound is an attractive dielectric ceramics owing to its interesting dielectric properties in high frequency range (?r = 19, QXf = 30,000GHz, ?? ? + 120 ppm/?C). The structure, microstructure and dielectric properties of sintered ZnTiO3, with a mixture of ZnO-B2O3 glass phase and CuO oxide as sintering aids, have been investigated. For all compounds, the sintering temperature becomes 850?C due to the glass addition. It is also shown that the addition of CuO oxide allows a control of the temperature coefficient of the permittivity (??). This parameter varies from positive to negative values with increasing the CuO content. The ZnTiO3 composition sintered at 850?C with 5 wt.% ZnO-B2O3 glass phase and 2.2 wt.% CuO addition exhibits attractive dielectric properties (?r = 23, tan ? < 10-3 and a temperature coefficient of the dielectric constant near zero, ?? = 3 ppm/?C) at 1 MHz. All these properties lead this system compatible for manufacturing Ag based electrodes multilayer dielectrics devices needed for LTCC application or other multilayer dielectric components. .
APA, Harvard, Vancouver, ISO, and other styles
24

Treichel, H., G. Ruhl, P. Ansmann, R. Würl, Ch Müller, and M. Dietlmeier. "Low dielectric constant materials for interlayer dielectric." Microelectronic Engineering 40, no. 1 (February 1998): 1–19. http://dx.doi.org/10.1016/s0167-9317(97)00185-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Kohno, Masahiko. "Low Dielectric Constant Materials." Journal of Photopolymer Science and Technology 12, no. 2 (1999): 189–92. http://dx.doi.org/10.2494/photopolymer.12.189.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Gaiser, Christof, Thorsten Zandt, and Bernd Fellmuth. "Dielectric-constant gas thermometry." Metrologia 52, no. 5 (August 19, 2015): S217—S226. http://dx.doi.org/10.1088/0026-1394/52/5/s217.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Labhasetwar, Vinod, and A. K. Dorle. "Dielectric Constant During Emulsification." Drug Development and Industrial Pharmacy 20, no. 13 (January 1994): 2135–41. http://dx.doi.org/10.3109/03639049409050226.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Volksen, Willi, Robert D. Miller, and Geraud Dubois. "Low Dielectric Constant Materials." Chemical Reviews 110, no. 1 (January 13, 2010): 56–110. http://dx.doi.org/10.1021/cr9002819.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Robertson, J. "High dielectric constant oxides." European Physical Journal Applied Physics 28, no. 3 (December 2004): 265–91. http://dx.doi.org/10.1051/epjap:2004206.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Jogad, Mahantappa S., and Ajaya Yagnamurthy. "Finding the dielectric constant." Physics Education 37, no. 1 (January 1, 2002): 69–70. http://dx.doi.org/10.1088/0031-9120/37/1/608.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Treichel, H. "Low dielectric constant materials." Journal of Electronic Materials 30, no. 4 (April 2001): 290–98. http://dx.doi.org/10.1007/s11664-001-0033-z.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Lee, Don Kyu. "Analysis of the Characteristics on Dielectric Barrier Discharges Depending on the Relative Permittivity and Frequency." Applied Mechanics and Materials 875 (January 2018): 113–16. http://dx.doi.org/10.4028/www.scientific.net/amm.875.113.

Full text
Abstract:
Various studies are being conducted on the application of DBD (Dielectric barrier discharges). The internal dielectric has a very important characteristic on DBD, thus we analyze of the characteristics on dielectric barrier discharges depending on the relative permittivity and frequency. Through simulation, the discharge voltage was calculated based on relative permittivity and frequency of real used dielectrics (Green sheet, Down dielectric, white dielectric). We investigate that increased relative permittivity and fast frequency occur the decrease of the firing voltage. Also, we investigate the frequency dependence of the dielectric constant and dielectric loss of dielectric layers measured at a frequency region of 100Hz to 10MHz. In a condition of drive within 1MHz, with regard to the change of real and imaginary part according to frequency, it has quite stable dielectric constant in the condition of drive within 1MHz.
APA, Harvard, Vancouver, ISO, and other styles
33

Amangeldinova, Yerkezhan, Dimaral Aben, Xiaoting Ma, Heesang Ahn, Kyujung Kim, Dong-Myeong Shin, and Yoon-Hwae Hwang. "Enhancing Electrical Outputs of Piezoelectric Nanogenerators by Controlling the Dielectric Constant of ZnO/PDMS Composite." Micromachines 12, no. 6 (May 28, 2021): 630. http://dx.doi.org/10.3390/mi12060630.

Full text
Abstract:
Structural optimizations of the piezoelectric layer in nanogenerators have been predicted to enhance the output performance in terms of the figure of merit. Here, we report the effect of dielectric constant on electrical outputs of piezoelectric nanogenerator using ZnO/PDMS composites with varied ZnO coverages. The dielectric constant of piezoelectric layers was adjusted from 3.37 to 6.75. The electrical output voltage of 9 mV was achieved in the nanogenerator containing the ZnO/PDMS composite with the dielectric constant of 3.46, which is an 11.3-fold enhancement compared to the value of the nanogenerator featuring the composite with high dielectric constants. Significantly, lowering the dielectric constant of the piezoelectric layer improves the electrical output performance of piezoelectric nanogenerators.
APA, Harvard, Vancouver, ISO, and other styles
34

Burke, Andrew. "Prospects for the Development of High Energy Density Dielectric Capacitors." Applied Sciences 11, no. 17 (August 31, 2021): 8063. http://dx.doi.org/10.3390/app11178063.

Full text
Abstract:
In this paper, the design of high energy density dielectric capacitors for energy storage in vehicle, industrial, and electric utility applications have been considered in detail. The performance of these devices depends primarily on the dielectric constant and breakdown strength characteristics of the dielectric material used. A review of the literature on composite polymer materials to assess their present dielectric constants and the various approaches being pursued to increase energy density found that there are many papers in which materials having dielectric constants of 20–50 were reported, but only a few showing materials with very high dielectric constants of 500 and greater. The very high dielectric constants were usually achieved with nanoscale metallic or carbon particles embedded in a host polymer and the maximum dielectric constant occurred near the percolation threshold particle loading. In this study, an analytical method to calculate the dielectric constant of composite dielectric polymers with various types of nanoparticles embedded is presented. The method was applied using an Excel spreadsheet to calculate the characteristics of spiral wound battery cells using various composite polymers with embedded particles. The calculated energy densities were strong functions of the size of the particles and thickness of the dielectric layer in the cell. For a 1000 V cell, an energy density of 100–200 Wh/kg was calculated for 3–5 nm particles and 3–5 µ thick dielectric layers. The results of this study indicate that dielectric materials with an effective dielectric constant of 500–1000 are needed to develop dielectric capacitor cells with battery-like energy density. The breakdown strength would be 300–400 V/µ in a reverse sandwich multilayer dielectric arrangement. The leakage current of the cell would be determined from appropriate DC testing. These high energy density dielectric capacitors are very different from electrochemical capacitors that utilize conducting polymers and liquid electrolytes and are constructed much like batteries. The dielectric capacitors have a very high cell voltage and are constructed like conventional ceramic capacitors.
APA, Harvard, Vancouver, ISO, and other styles
35

Wei, Peng Fei. "Dielectric Properties of Na2O Doped Cao-B2O3-SiO2 System Glass-Ceramics." Advanced Materials Research 750-752 (August 2013): 492–96. http://dx.doi.org/10.4028/www.scientific.net/amr.750-752.492.

Full text
Abstract:
The behavior of dielectric and microwave properties against sintering temperature was been carried out on CaO-B2O3-SiO2glass-ceramics with Na2O addition by XRD and SEM. The results show that 0.5 wt.% Na2O addition is advantageous to improve the dielectric and microwave properties due to increasing the major crystalline CaSiO3. With further increasing Na2O content, α-SiO2is the predominant crystalline phase instead of CaSiO3. The CBS glass-ceramics with 0.5 wt.% Na2O sintered at 875°C has a bulk density of 2.51g·cm-3, and which possesses good dielectric properties:εr=6.2,tanδ=1.9×10-3(10 MHz) and low dielectric constant below 2×10-3over a wide frequency range. The proposed dielectrics can find applications in microwave devices, which require low dielectric loss and low dielectric constant.
APA, Harvard, Vancouver, ISO, and other styles
36

Wang, Zhi-Hang, Jin-Yu Xu, Er-Lei Bai, and Liang-Xue Nie. "Dielectric Model of Carbon Nanofiber Reinforced Concrete." Materials 13, no. 21 (October 30, 2020): 4869. http://dx.doi.org/10.3390/ma13214869.

Full text
Abstract:
The formula describing the relationship between the dielectric constant of a composite and the dielectric constants or volume rates of its components is called a dielectric model. The establishment of a cement concrete dielectric model is the basic and key technique for applying electromagnetic wave technology to concrete structure quality testing and internal damage detection. To construct the dielectric model of carbon nanofiber reinforced concrete, the carbon nanofiber reinforced concrete was measured by the transmission and reflection method for dielectric constant ε, and ε,, in the frequency range of 1.7~2.6 GHz as the fiber content was 0, 0.1%, 0.2%, 0.3% and 0.5%. Meanwhile, concrete was considered as a composite material composed of three phases, matrix (mortar), coarse aggregate (limestone gravel) and air, and the dielectric constants and volume rates of each component phase were tested. The Brown model, CRIM (Complex Refractive Index Model) model and Looyenga model commonly used in composite materials were modified based on the experimental data, suitable dielectric models of carbon nanofiber reinforced concrete were constructed, and a reliability check and error analysis of the modified models were carried out. The results showed that the goodness of fit between the calculated curves based on the three modified models and the measured curves was very high, the accuracy and applicability were very strong and the variation rule for the dielectric constant of carbon nanofiber concrete with the frequency of electromagnetic wave could be described accurately. For ε, and ε,,, the error between the dielectric constant calculated by the three modified models and the corresponding measured values was very small. For the dielectric constant ε,, the average error was maintained below 1.2%, and the minimum error was only 0.35%; for the dielectric constant ε,,, the average error was maintained below 3.55%.
APA, Harvard, Vancouver, ISO, and other styles
37

Du, Bing-rui, Da-wei Bai, Peng-hui Zhang, Peng Guo, and Qiang Zhang. "Physical Experiment Research on Dielectric Properties of Hydrate-bearing Sediment in Sandstone Reservoir." E3S Web of Conferences 118 (2019): 03046. http://dx.doi.org/10.1051/e3sconf/201911803046.

Full text
Abstract:
Dielectric constants can be used to detect hydrates in permafrost regions. Therefore, this study investigated the relationships between the dielectric constant characteristics of sandstone reservoir hydrate and the hydrate saturation degree through physical simulation experiments, as well as the granularity of the surrounding rock. Methane and tetrahydrofuran (THF) hydrates with quartz sands were prepared, and their dielectric constants were analyzed. With different granularities of quartz sands, the dielectric constants of two different methane hydrate sediments decreased with increasing saturation degrees. At a given saturation degree, the dielectric constant of methane hydrate sediments with small granularity was larger than that with medium granularity, a result attributed to the unreacted water in the larger pores of the latter. In addition, the dielectric constant of methane hydrate sediments was larger than that of THF hydrates, which was also attributed to gas-phase factors and the presence of unreacted water. At a given granularity and saturation, the dielectric constants of both the THF and methane hydrates decreased with increasing saturation degrees. We conclude that at low temperature and under normal pressure, THF hydrates cannot be used as a substitute for methane hydrates in laboratory experiments investigating geophysical phenomena.
APA, Harvard, Vancouver, ISO, and other styles
38

Kalyane, Sangshetty. "Dielectric Constant study of Polyaniline / Dysprosium Oxide (PANI / Dy2O3) Composites." Indian Journal of Applied Research 3, no. 6 (October 1, 2011): 1–2. http://dx.doi.org/10.15373/2249555x/june2013/182.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

DavydovS.Y. and Lebedev A. A. "Dielectric and optical properties of the cubic SiC, GeC and SnCmonocrystals: Model estimations." Physics of the Solid State 64, no. 1 (2022): 68. http://dx.doi.org/10.21883/pss.2022.01.52490.193.

Full text
Abstract:
Within the scope of the Harrison's bond orbital model analytical expressionsof the high- and low-frequency dielectric susceptibilities and dielectricconstants, linear electrooptical coefficient, photoelastic constants andpressure dependences of the dielectric constants are obtained for the cubiccarbides of the group IV elements. Keywords: dielectric susceptibility, dielectric constants, electrooptical coefficient, photoelastic constant.\
APA, Harvard, Vancouver, ISO, and other styles
40

Park, Se Yeon, Moonjeong Jang, Wooseok Song, Sun Sook Lee, Dae Ho Yoon, and Ki-Seok An. "Boosted dielectric performance of organic–inorganic nanocomposites based on BaTiO3 via 2D TiO2 templates." Functional Composites and Structures 3, no. 4 (December 1, 2021): 045009. http://dx.doi.org/10.1088/2631-6331/ac4279.

Full text
Abstract:
Abstract Organic–inorganic hybrid dielectrics composed of nanoscale ceramic fillers in polymer matrices have attracted considerable attention because they can overcome the inherent limitations such as the low dielectric constant, high dielectric loss, and low film density associated with mechanically flexible pristine polymer materials. Barium titanate (BaTiO3), a representative perovskite-based material with a high permittivity, is suitable for applications as nanofillers in nanocomposite dielectrics. X-ray diffraction combined with Raman analysis suggest that a two-step hydrothermal synthesis, which uses synthesized TiO2 nanosheets as a template, is an effective method for the synthesis of pure BaTiO3 nanoparticles compared with other methods. Ultrasonic treatment is employed to disperse BaTiO3 nanoparticles with different concentrations in polyvinyl alcohol (PVA) polymer, and the dielectric performance of the nanocomposite films has been examined. In this study, 20 wt% BaTiO3–PVA nanocomposite dielectric showed superior capacitance and dielectric constant performance, i.e. five times higher than that of the pristine PVA.
APA, Harvard, Vancouver, ISO, and other styles
41

Qi, L., B. I. Lee, S. Chen, W. D. Samuels, and G. J. Exarhos. "High-Dielectric-Constant Silver-Epoxy Composites as Embedded Dielectrics." Advanced Materials 17, no. 14 (July 18, 2005): 1777–81. http://dx.doi.org/10.1002/adma.200401816.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

DONG, XIJIE, YIFAN HU, MEIJUAN YUAN, JUN ZHAO, and LING CHEN. "A NEW FRACTAL DESIGN OF POROUS AlN/BN/SiOC COMPOSITES WITH LOW-k AND HIGH THERMAL CONDUCTIVITY." Fractals 27, no. 07 (November 2019): 1950124. http://dx.doi.org/10.1142/s0218348x1950124x.

Full text
Abstract:
In this work, we propose a new model for the dielectric constant and thermal conductivity, and apply it to the design of composites with low dielectric constant and high thermal conductivity based on fractal theory and effective medium theory. In particular, we use this model to prepare porous AlN/BN/SiOC composites with low dielectric constant and high thermal conductivity in different component fractions. We successfully synthesize ceramic samples with low dielectric constants [Formula: see text] and high thermal conductivity ([Formula: see text]). These results indicate that the proposed fractal design is valid.
APA, Harvard, Vancouver, ISO, and other styles
43

Iqbal, Muhammad Bilal, Abraiz Khattak, Asghar Ali, M. Hassan Raza, Nasim Ullah, Ahmad Aziz Alahmadi, and Adam Khan. "Influence of Ramped Compression on the Dielectric Behavior of the High-Voltage Epoxy Composites." Polymers 13, no. 18 (September 17, 2021): 3150. http://dx.doi.org/10.3390/polym13183150.

Full text
Abstract:
The emergence of micro and nano-based inorganic oxide fillers with optimal filler-loadings further enhances the required insulation characteristics of neat epoxy. During manufacturing and service application, insulators and dielectrics face mechanical stresses which may alter their basic characteristics. Keeping this in mind, the facts’ influence of mechanical stresses and fillers on dielectric properties of polymeric insulators of two epoxy/silica composites were fabricated and thoroughly analyzed for dielectric characteristics under ramped mechanical compressions relative to the unfilled sample. Before compression, epoxy nanocomposites exhibited responses having an average dielectric constant of 7.68 with an average dissipation factor of 0.18. After each compression, dielectric properties of all samples were analyzed. The dissipation factor and the dielectric constant trends of each sample are plotted against a suitable frequency range. It was observed that after the successive compressions up to 25 MPa, the dielectric properties of epoxy micro-silica composites were highly affected, having an average final dielectric constant of 9.65 times that of the uncompressed sample and a dissipation factor of 2.2 times that of the uncompressed sample, and these were recorded.
APA, Harvard, Vancouver, ISO, and other styles
44

Tamura, Masahiro, Jun Nakamura, and Akiko Natori. "First-Principles Calculations of the Dielectric Constant for the GeO2 Films." Key Engineering Materials 470 (February 2011): 60–65. http://dx.doi.org/10.4028/www.scientific.net/kem.470.60.

Full text
Abstract:
Dielectric properties of α-quartz and rutile-GeO2 thin-films are investigated using first-principles ground-state calculations in external electric fields. The optical and the static dielectric constants inside the films have nearly-constant values, corresponding to their bulk values, while only at the topmost surface layer the dielectric constants decrease distinctly. It has been found that the dielectric constant for the rutile-GeO2 is larger than that for the α-quartz one, which stems from the larger ionic character of the Ge-O bond for the rutile phase.
APA, Harvard, Vancouver, ISO, and other styles
45

Kwon, Woo Teck, J. H. Lee, Soo Ryong Kim, H. T. Kim, Hyung Sun Kim, Y. H. Yu, and Y. Kim. "Preparation of Nano Structured SiOC Thin Film for Low k Application." Journal of Nano Research 11 (May 2010): 85–88. http://dx.doi.org/10.4028/www.scientific.net/jnanor.11.85.

Full text
Abstract:
In our study, the dielectric properties of SiOC low k thin film derived from polyphenylcarbosilane were investigated as a potential interlayer dielectrics for Cu interconnect technology. A SiOC low k thin film was fabricated onto a n-type silicon wafer by dip coating using 30wt % polyphenylcarbosilane in cyclohexane. Curing of the film was performed in air at 300°C for 2h. The thickness of the film ranges from 1 μm to 1.7 μm. The dielectric constant was determined from the capacitance data obtained from metal/polyphenylcarbosilane/conductive Si MIM capacitors and shows a dielectric constant as low as 3.26 without porosity added. The SiOC low k thin film derived from polyphenylcarbosilane shows promising application as an interlayer dielectrics for Cu interconnect technology.
APA, Harvard, Vancouver, ISO, and other styles
46

Chen, Zhuo, Linfeng Lan, and Junbiao Peng. "Approaching subthreshold-swing limit for thin-film transistors by using a giant-dielectric-constant gate dielectric." RSC Advances 9, no. 46 (2019): 27117–24. http://dx.doi.org/10.1039/c9ra03574e.

Full text
Abstract:
Low-temperature giant-dielectric-constant thin films (In0.0025Nb0.0025Ti0.995O2) fabricated with RF sputtering are employed as the dielectrics for IZO-TFTs.
APA, Harvard, Vancouver, ISO, and other styles
47

Pecovska Gjorgjevich, Margareta, Aleksandar Andonovski, and Julijana Velevska. "Dielectric constant and induced dipole moment of edible oils subjected to conventional heating." Macedonian Journal of Chemistry and Chemical Engineering 31, no. 2 (December 20, 2012): 285. http://dx.doi.org/10.20450/mjcce.2012.19.

Full text
Abstract:
The frequency dependence of dielectric constant, dielectric loss factor and conductivity are studied for five edible oils in the frequency range 100 kHz to 13 MHz at different temperatures using frequency domain spectroscopy. The dielectric constant is found similar for all the samples and in agreement with the previous reports. The dielectric loss is low (<0.01) except for the virgin olive oil with value of 0.05. Dielectric loss peak frequency is at 4 MHz for corn oil and around 5.2 MHz for the others. At this frequency conductivity is of the order of 10-7-10-9 S/cm, and decreases with temperature following the behavior of the dielectric losses. Refractive index, molar and orientation polarization are calculated for all types of oils using novel theory proposed by N. M. Putintsev and D. N. Putintsev [1]. Data show that the orientation polarization contributes to the observed dielectric constant at low temperatures and frequencies. This indicates that the edible oils are not pure nonpolar dielectrics. Induced dipole moments of oils are calculated for 400 kHz and 10 MHz at 300 K and 318 K. The results are discussed and correlated as a function of temperature and frequency to establish their relationship.
APA, Harvard, Vancouver, ISO, and other styles
48

Hacker, Nigel P. "Organic and Inorganic Spin-On Polymers for Low-Dielectric-Constant Applications." MRS Bulletin 22, no. 10 (October 1997): 33–38. http://dx.doi.org/10.1557/s0883769400034175.

Full text
Abstract:
Low-dielectric-constant materials (k < 3.0) have the advantage of facilitating manufacture of higher performance integrated-circuit (IC) devices with minimal increases in chip size. The reduced capacitance given by these materials permits shrinkage of spacing between metal lines to below 0.25 μm and the ability to decrease the number of levels of metal in a device. The technologies being considered for low-k applications are chemical vapor deposition (CVD) or spin-on of polymeric materials. For both types of processes, there are methods and materials capable of giving k < 3.0 dielectric stacks. This article will focus on the spin-on approach and discuss the properties of both organic and inorganic spin-on polymers.While CVD SiO2 has been the mainstay of the industry, spin-on materials are appropriate for many dielectric applications. Polyimides have applications as electrical insulators, and traditional spin-on silicates or siloxanes (k > 3.0) have served as planarizing dielectrics during the last 15 years. The newer spin-on polymers have greatly enhanced mechanical, thermal, and chemical properties, exhibiting lower dielectric constants than the traditional materials.
APA, Harvard, Vancouver, ISO, and other styles
49

You, Yong, Chenhao Zhan, Ling Tu, Yajie Wang, Weibin Hu, Renbo Wei, and Xiaobo Liu. "Polyarylene Ether Nitrile-Based High-k Composites for Dielectric Applications." International Journal of Polymer Science 2018 (July 10, 2018): 1–15. http://dx.doi.org/10.1155/2018/5161908.

Full text
Abstract:
Flexible polymer-based composites exhibiting high dielectric constant as well as low dielectric loss have been intensively investigated for their potential utilization in electronics and electricity industry and energy storage. Resulting from the polar -CN on the side chain, polyarylene ether nitrile (PEN) shows relatively high dielectric constant which has been extensively investigated as one of the hot spots as dielectric materials. However, the dielectric constant of PEN is still much lower than the ceramic dielectrics such as BaTiO3, TiO2, and Al2O3. In this review, recent and in-progress advancements in the designing and preparing strategies to obtain high-k PEN-based nanocomposites are summarized. According to the types of the added fillers, the effects of organic fillers, dielectric ceramic fillers, and conductive fillers on electric properties of PEN-based composites are investigated. In addition, other factors including the structures and sizes of the additive, the compatibility between the additive agent and the PEN, and the interface which affects the dielectric properties of the obtained composite materials are investigated. Finally, challenges facing in the design of more effective strategies for the high-k PEN-based dielectric materials are discussed.
APA, Harvard, Vancouver, ISO, and other styles
50

Susarla, Sandhya, Thierry Tsafack, Peter Samora Owuor, Anand B. Puthirath, Jordan A. Hachtel, Ganguli Babu, Amey Apte, et al. "High-K dielectric sulfur-selenium alloys." Science Advances 5, no. 5 (May 2019): eaau9785. http://dx.doi.org/10.1126/sciadv.aau9785.

Full text
Abstract:
Upcoming advancements in flexible technology require mechanically compliant dielectric materials. Current dielectrics have either high dielectric constant, K (e.g., metal oxides) or good flexibility (e.g., polymers). Here, we achieve a golden mean of these properties and obtain a lightweight, viscoelastic, high-K dielectric material by combining two nonpolar, brittle constituents, namely, sulfur (S) and selenium (Se). This S-Se alloy retains polymer-like mechanical flexibility along with a dielectric strength (40 kV/mm) and a high dielectric constant (K = 74 at 1 MHz) similar to those of established metal oxides. Our theoretical model suggests that the principal reason is the strong dipole moment generated due to the unique structural orientation between S and Se atoms. The S-Se alloys can bridge the chasm between mechanically soft and high-K dielectric materials toward several flexible device applications.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography