Journal articles on the topic 'Deposited Substrate'

To see the other types of publications on this topic, follow the link: Deposited Substrate.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Deposited Substrate.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Panitchakan, H., and Pichet Limsuwan. "The Properties of Al2O3 Films Deposited onto Al2O3-TiC and Si Substrates by RF Diode Sputtering." Applied Mechanics and Materials 313-314 (March 2013): 126–30. http://dx.doi.org/10.4028/www.scientific.net/amm.313-314.126.

Full text
Abstract:
The Al2O3 films were deposited onto Al2O3-TiC and Si (100) substrates by RF sputtering technique by varying powers sputter target, substrate bias voltages and fixed process pressure 25 mTorr which aim to achieve high deposition rate and investigated film properties onto different types. Result showed significant power sputter target to deposition rate both substrates and film properties depend on type of substrate. The power sputter target at 8kW and substrate bias voltage at -150 V is optimum deposition condition to provide deposition rate is 53.97nm/min for Al2O3-TiC substrate and 51.50nm/min for Si substrate. The Al2O3 film deposited onto Al2O3-TiC substrate surface morphology displayed rather roughness than Al2O3 film deposited onto Si substrate which verified from SEM and AFM as 0.99 nm (Ra) versus 0.46 nm (Ra). The film stress, hardness, reduces modulus and breakdown voltage (BDV) of Al2O3 film deposited were higher than Al2O3 film deposited on Al2O3-TiC substrates which were correspond to surface morphology.
APA, Harvard, Vancouver, ISO, and other styles
2

de la Garza, María, Israel López, and Idalia Gómez. "In SituSynthesis and Deposition of Gold Nanoparticles with Different Morphologies on Glass and ITO Substrate by Ultrasonic Spray Pyrolysis." Advances in Materials Science and Engineering 2013 (2013): 1–5. http://dx.doi.org/10.1155/2013/916908.

Full text
Abstract:
Gold nanoparticles were synthesized and depositedin situby ultrasonic spray pyrolysis on glass and indium tin oxide (ITO) substrates. This technique led to the formation of gold nanoparticles with different morphologies without the use of any capping agent. The gold nanoparticles deposited on glass substrate were obtained as nanospheres with an average particle size of 30 nm with some agglomerates; however, the nanoparticles deposited on ITO substrate were obtained with different morphologies, such as triangular nanoprisms, nanorods, nanocubes, and nanorhombus, with particle sizes between 40 and 100 nm. The ITO substrate influenced the morphology of the gold nanoparticles obtained due to changes in the deposition temperature, which also change the crystalline structure of the ITO film on the substrate.
APA, Harvard, Vancouver, ISO, and other styles
3

Kumar, Varun S., and Murali Sundaram. "A mathematical model for the estimation of hardness of electrochemical deposits." Proceedings of the Institution of Mechanical Engineers, Part E: Journal of Process Mechanical Engineering 232, no. 1 (September 28, 2016): 23–28. http://dx.doi.org/10.1177/0954408916671973.

Full text
Abstract:
Binding of metal powders using electrochemically deposited binders provides a novel way of carrying metal additive manufacturing at ambient temperatures. In this paper, a mathematical model was developed to predict the hardness and the yield strength of electrochemically bound parts. In this work, an existing composite hardness model is modified to predict the deposit hardness. Experimental verification of the model was performed using brass and aluminum substrates with nickel as the binder under similar deposition parameters to verify that substrate effects were not involved in the measured hardness value. The film hardness values were then compared for deposits on both brass and aluminum substrates. The model was able to predict the hardness values on both substrates within 8% of each other thereby eliminating the substrate effects involved.
APA, Harvard, Vancouver, ISO, and other styles
4

Hwang, Cheol Seong, Mark D. Vaudin, and Gregory T. Stauf. "Influence of substrate annealing on the epitaxial growth of BaTiO3 thin films by metal-organic chemical vapor deposition." Journal of Materials Research 12, no. 6 (June 1997): 1625–33. http://dx.doi.org/10.1557/jmr.1997.0222.

Full text
Abstract:
BaTiO3 thin films were deposited by metal-organic chemical vapor deposition at 840 °C on two differently treated (100) MgO single crystal substrates. One MgO substrate was only mechanically polished and the other substrate was polished and then annealed at 1100 °C for 4 h in oxygen. Observation by transmission electron microscopy showed that the BaTiO3 thin film deposited on the unannealed substrate was fine-grained and that the whole film was epitaxial (100) in nature. In contrast, the film deposited on the annealed substrate consisted of large, (100)-oriented, epitaxial grains within which were distributed (110)-oriented grains with random in-plane orientations. These differences in BaTiO3 films deposited on differently treated substrates are discussed with reference to the surface structure of the MgO substrate and nucleation kinetics of BaTiO3 thin films on MgO.
APA, Harvard, Vancouver, ISO, and other styles
5

Zhang, Junze, Hanwen Xu, Zhuanghao Zheng, Cong Wang, Xinru Li, Fu Li, Ping Fan, and Yue-Xing Chen. "Effects of Si Substrates with Variable Initial Orientations on the Growth and Thermoelectric Properties of Bi-Sb-Te Thin Films." Nanomaterials 13, no. 2 (January 7, 2023): 257. http://dx.doi.org/10.3390/nano13020257.

Full text
Abstract:
For thermoelectric thin film, the substrate plays an important role during the growing process and produces effects on its thermoelectric properties. Some special kinds of substrates provide an optimal combination of influences on both the structure and thermoelectric properties. In this work, Bi-Sb-Te films are deposited on Si substrates with different initial orientations by magnetron sputtering in two ways: with and without a pre-coating process. The preferred orientations of the Bi-Sb-Te films are greatly affected by the substrates, in which the thin film tends to deposit on Si substrate with (100) initial orientation and high (015)-texture, while the (00l)-textured Bi-Sb-Te film easily deposits on Si substrate with (110) initial orientation. The experimental and theoretical calculation results indicate that Bi-Sb-Te film with (00l)-texture presents good electrical conductivity and a higher power factor than that of film with (015)-texture.
APA, Harvard, Vancouver, ISO, and other styles
6

Wang, Xin Chang, Bin Shen, and Fang Hong Sun. "Deposition and Characterization of Boron-Doped HFCVD Diamond Films on Ti, SiC, Si and Ta Substrates." Applied Mechanics and Materials 217-219 (November 2012): 1062–67. http://dx.doi.org/10.4028/www.scientific.net/amm.217-219.1062.

Full text
Abstract:
In the present investigation, titanium (Ti), silicon carbide (SiC), silicon (Si) and tantalum (Ta) samples with the same geometry are selected as substrates to deposite HFCVD boron-doped diamond films with the same deposition parameters, using trimethyl borate as the dopant. FESEM, EDS, Raman spectroscopy and Rockwell hardness tester are used to characterize as-deposited boron-doped diamond (BDD) films. The FESEM micrographs exhibit that the film deposited on Si substrate presents the best uniformity and that on Ti substrate has smallest grain size and film thickness, with titanium element detected in the EDS spectra. Moreover, it’s speculated by indentation test that the adhesive strength between the BDD films and different substrates can be order as SiC>Ta>Ti for the different thermal expansion coefficient gaps between the substrate and diamond, and the hardness of the BDD coated samples measured using Rockwell hardness tester can also be order as SiC>Ta>Ti due to the different hardness of substrate materials. Finally, similar and representative characterization for BDD films is obtained from the Raman spectra for all the BDD films on different substrates.
APA, Harvard, Vancouver, ISO, and other styles
7

Sindhu, H. S., Sumanth Joishy, B. V. Rajendra, and P. D. Babu. "Influence of Precursor Solution Concentration on Structure and Magnetic Properties of Zinc Oxide Thin Films." Key Engineering Materials 724 (December 2016): 43–47. http://dx.doi.org/10.4028/www.scientific.net/kem.724.43.

Full text
Abstract:
Zinc oxide thin films were deposited on glass substrate at a substrate temperature of 673K by spray pyrolysis method using different concentration of 0.0125M, 0.025M and 0.05M of Zinc acetate solutions. The effect of molar concentrations on structure, surface morphology and magnetic properties of ZnO films were investigated using x-ray diffraction, scanning electron microscopy and vibrating sample magnetometer. All deposited films were polycrystalline in nature with hexagonal wurtzite structure having a preferential growth orientation along (101) plane. An improvement of crystallinity in the deposits with increasing concentration of sprayed solution was noticed. All deposit exhibit fibrous structure which increases with increase of precursor concentration solutions. At room temperature, all deposited films were shown diamagnetic character but when cooled to 5K, they have shown paramagnetic characteristics.
APA, Harvard, Vancouver, ISO, and other styles
8

Meng, Fan Tao, Shan Yi Du, and Yu Min Zhang. "Characterization of Silicon Carbide Films Prepared by Chemical Vapor Deposition." Advanced Materials Research 177 (December 2010): 78–81. http://dx.doi.org/10.4028/www.scientific.net/amr.177.78.

Full text
Abstract:
Silicon carbide prepared by chemical vapor deposition (CVD) is one of the important candidate materials for space mirror and high-power mirror such as laser mirror, because of its superior performances such as low density, high melting point and homogeneity. In this paper, the SiC coatings were deposited on the substrates of reaction bonded silicon carbide (RB-SiC) by CVD process. Then, the morphologies of the deposits were examined with scanning electron microscopy. The crystalline phase of the as-deposited films was confirmed with X-ray diffractometry. And the adhesion between the CVD film and the substrate was rated with scraping method. As a result, the morphologies of the deposits, i.e. whiskers at 1050°C or films at 1100°C, are different from that of the substrate. And the mean diameter of the deposits at 1100°C is larger than that at 1050°C. Furthermore, the crystalline phase of the as-deposited film is determined as β-SiC and the adhesion is firm enough not to be peeled off with the scraping test.
APA, Harvard, Vancouver, ISO, and other styles
9

Tsai, Fa Ta, Chin Tun Chuang, Tsai Cheng Li, and Pei Chi Yu. "Study of Parylene-C Thin Film Deposited on Flat Substrates." Applied Mechanics and Materials 217-219 (November 2012): 1077–82. http://dx.doi.org/10.4028/www.scientific.net/amm.217-219.1077.

Full text
Abstract:
This work aims to enhance the surface wettability and adhesion of Parylene-C (poly-chloro-p-xylylene C) thin film on PMMA, glass and aluminum substrates by chemical vapor deposition. The results show that: (1) 0.56, 1, and 1.55g Parylene-C powders can deposit 200, 400, and 600nm films, respectively. (2) After oxygen-plasma pretreatment, the surface roughness of the 200nm-thickness film on glass substrate specimens decreases from 18nm to 7nm. (3) After dipped in prescription solution pretreatment, the residual stress reduces from 107MPa to 64MPa on glass substrate specimens. (4) In contact angle tests, the 600nm-thickness film on various flat substrates deposited process that has surface hydrophobic. (5) The critical load of 600nm-thickness film increases from 14.1 to 18.5mN, showing the substrate after dipped in prescription solution pretreatment can improve the adhesion of the Parylene-C thin film on flat glass substrate specimens.
APA, Harvard, Vancouver, ISO, and other styles
10

Yu, J., and S. Matsumoto. "Growth of cubic boron nitride films on tungsten carbide substrates by direct current jet plasma chemical vapor deposition." Journal of Materials Research 19, no. 5 (May 2004): 1408–12. http://dx.doi.org/10.1557/jmr.2004.0188.

Full text
Abstract:
Cubic boron nitride (cBN) film was deposited on Co-containing WC substrates by dc jet plasma chemical vapor deposition from an Ar–N2–BF3–H2 gas system. The formation of cobalt nitrides was observed at interface, and the hindrance of Co on cBN growth was demonstrated. Growth temperature and etching treatment of the substrate before deposition influenced the cBN growth greatly. At 1050 °C, cBN films were obtained on etched substrates but not on unetched substrates. At 1090 °C, cBN films were not obtained even on etched substrates. At 960 °C, cBN films deposited even on unetched substrate but the films always peeled off after exposing to air. The film quality of cBN deposited at 960 °C is better than that deposited at 1050 °C.
APA, Harvard, Vancouver, ISO, and other styles
11

Santos, Edson Costa, Katsuyuki Kida, Phil Carroll, and Rui Vilar. "Optimization of Laser Deposited Ni-Based Single Crystal Superalloys Microstructure." Advanced Materials Research 154-155 (October 2010): 1405–14. http://dx.doi.org/10.4028/www.scientific.net/amr.154-155.1405.

Full text
Abstract:
In this paper, results concerning the microstructure of Rene N4 alloy layers produced by laser cladding on oriented CMSX-4 single crystal substrates are presented. The microstructure of the deposits was analyzed in the solidification condition after different temperature/time ageing cycles in order to assess the possibility of improving high temperature strength of laser deposited superalloys. The present work demonstrates that single crystalline deposits of René N4 nickel superalloy can be obtained provided that the deposition direction and the processing parameters are properly selected. The clad layer is perfectly bonded to the substrate and presents no pores or cracks. The deposits grow epitaxially on the substrate, so they inherit its orientation. For laser beam powers and scanning speeds varying between 500 to 800 W and 4 to 12 mm/s, respectively and (001) substrates, the deposited material presents a columnar dendritic structure consisting of arrays of similarly oriented dendrites, separated by subgrain boundaries, forming a single crystal. Heat treatments effective for the dissolution of detrimental phases and for inducing the precipitation of cuboid ’-Ni3Al strengthening phase precipitates in the laser clads were established.
APA, Harvard, Vancouver, ISO, and other styles
12

Ikenaga, Noriaki, Yoichi Kishi, Zenjiro Yajima, and Noriyuki Sakudo. "Influence of Substrate Temperature on Texture for Deposited TiNi Films." Advances in Science and Technology 59 (September 2008): 30–34. http://dx.doi.org/10.4028/www.scientific.net/ast.59.30.

Full text
Abstract:
In order to fabricate two-dimensional micro actuators with shape memory alloy films, it is especially important to evaluate the anisotropy of transformation strain that is caused by texture. In this paper, microstructures of sputter-deposited TiNi films are examined. The films of 1 μm in thickness are sputter-deposited on Si(001) substrates by RF magnetron multi-sputtering system equipped with four separate confocal sources as well as with substrate heating. Pure Ti and Ni targets of 50 mm in diameter are used for the sources. The films deposited at ambient temperature have been generally amorphous. However, we find that some films which are deposited at 773K of substrate temperature are crystalline, when we appropriately choose sputtering parameters such as source voltage and the distance between a target and the substrate. X-ray powder diffraction and pole figure measurements reveal that these films are oriented with {110}B2 parallel or inclined at 45 degree to the substrate. Furthermore, we also find that crystallized film is deposited even at 673K of substrate temperature by applying pulse bias voltage to the substrate.
APA, Harvard, Vancouver, ISO, and other styles
13

Pico, Carey A., and Tom D. Bonifield. "Microstructural characterization of Al98.5wt. %Si1.0wt. %Cu0.5wt. % on chemical-vapor-deposited W." Journal of Materials Research 8, no. 5 (May 1993): 1001–9. http://dx.doi.org/10.1557/jmr.1993.1001.

Full text
Abstract:
The microstructural and morphological properties of thin (6000 Å) Al98.5wt. %Si1.0wt. %Cu0.5wt. % films on chemical-vapor-deposited tungsten-coated substrates have been characterized as functions of substrate temperature during deposition and a postdeposition sinter. Scanning electron and transmission electron microscopic investigations show these properties can be categorized with respect to the substrate temperature during deposition. The Al98.5wt. %Si1.0wt. %Cu0.5wt. % films deposited on substrates heated at temperatures ≤200 °C are rough and are comprised of rounded grains. For deposition on substrates heated at ≤300 °C, the films are smooth. Large voids and small precipitates (presumably Al2Cu) are present in the films deposited at 400 °C. The films retain their as-deposited texture during a 450 °C sinter. Precipitates and evidence of W interactions occur in the sintered films deposited on the lower temperature substrates. In addition, the shapes of thermal hillocks and mesa-like protrusions that form during the sintering process are influenced by the films' as-deposited morphologies.
APA, Harvard, Vancouver, ISO, and other styles
14

Prasad, Beesabathina D., L. Salamanca-Riba, S. N. Mao, X. X. Xi, T. Venkatesan, and X. D. Wu. "Effect of substrate materials on laser deposited Nd1.85Ce0.15CuO4−y films." Journal of Materials Research 9, no. 6 (June 1994): 1376–83. http://dx.doi.org/10.1557/jmr.1994.1376.

Full text
Abstract:
The growth morphology and interface structure of Nd1.85Ce0.15CuO4−y (NCCO) films grown by pulsed laser deposition on two different types of substrates, “perovskite” LaAlO3 (LAO) and SrTiO3 (STO) and “fluorite” Y2O3-stabilized ZrO2 (YSZ), were studied using cross-sectional electron microscopy. Structurally, the NCCO films are different when grown on the two types of substrates in three aspects: (i) epitaxy, (ii) substrate-film intermixing, and (iii) substrate-film interface roughness. In general, films deposited on “fluorite” substrates showed better superconducting properties than the films grown on “perovskite” substrates, especially for thinner films. Lattice mismatch considerations are not sufficient to explain the observed differences since films grown on the YSZ substrate showed sharp substrate-film interface in spite of their large lattice misfit. The atomic arrangements at the interface were analyzed in terms of electrostatic energy (charge balance) and matching of the oxygen sublattices in order to account for the experimental results.
APA, Harvard, Vancouver, ISO, and other styles
15

Milosevic, Nenad. "Optimal parameterization in the measurements of the thermal diffusivity of thermal barrier coatings." Thermal Science 11, no. 1 (2007): 137–56. http://dx.doi.org/10.2298/tsci0701137m.

Full text
Abstract:
The paper presents an estimation procedure for the measurement of the thermal diffusivity of thermal barrier coatings deposited on thermal conductive substrates using the laser flash method when the thermal contact resistance between the coating and substrate is unknown. The procedure is based on the application of the optimal parameterization technique and Gauss minimization algorithm. It has been applied on the experimental data obtained by using two different samples, one made of PTFE (polytetrafluoroethylene) coating deposited on a stainless steel substrate and the other made of PVC (polyvinylchloride) deposited on a copper substrate. .
APA, Harvard, Vancouver, ISO, and other styles
16

Mittra, Joy, Geogy Jiju Abraham, Manoj Kesaria, Sumit Bahl, Aman Gupta, Sonnada M. Shivaprasad, Chebolu Subrahmanya Viswanadham, Ulhas Digambar Kulkarni, and Gautam Kumar Dey. "Role of Substrate Temperature in the Pulsed Laser Deposition of Zirconium Oxide Thin Film." Materials Science Forum 710 (January 2012): 757–61. http://dx.doi.org/10.4028/www.scientific.net/msf.710.757.

Full text
Abstract:
Thin films of zirconium oxide have been deposited using pulsed laser deposition on Zr-base alloy substrates, held at 300 K, 573 K and 873 K, in order to understand the effect of substrate temperature on the deposited film. In this study, a KrF excimer laser having 30 ns pulse width and 600 mJ energy at source has been used for depositing the films from a sintered ZrO2 target using a laser fluence of 5 J.cm-2. After visual observation, deposited thin films were examined using Raman Spectroscopy (RS) and X-ray Photo-electron Spectroscopy (XPS). It has been found that the oxide deposited at 300 K temperature does not show good adherence with the substrate. The oxide films deposited at 573 K and 873 K are found to be adherent with the substrate and lustrous black in appearance. Thin films of zirconia, deposited using pulsed laser on the Zr-base metallic substrate are initially in amorphous state and possibly little deficient in oxygen. The substrate temperature and the duration of holding at high temperature are responsible for the evolution of nanocrystals in the deposited thin films. The stoichiometry of the amorphous oxide film supports its crystallization, below 573 K, into monoclinic and tetragonal phases and not into cubic phase.
APA, Harvard, Vancouver, ISO, and other styles
17

Sawa, Sayuki, and Shinzo Yoshikado. "Evaluation of Planar-Type Thin Film ZnO Varistors Fabricated Using Pulsed Laser Ablation." Key Engineering Materials 320 (September 2006): 109–12. http://dx.doi.org/10.4028/www.scientific.net/kem.320.109.

Full text
Abstract:
Planar-type thin film Bi-Mn-Co-doped ZnO varistors were fabricated on a silica glass substrate or a sintered alumina substrate using a visible light (532 nm) pulsed laser ablation method. The deposited thin films were annealed at 800°C or 900°C in air. For the thin films deposited on alumina substrates and then annealed, the contents of Bi and Mn decreased compared with those of the as-deposited films. Voltage-current (V-I) characteristics of the thin-film varistor fabricated on the alumina substrates and annealed showed nonlinearity. The nonlinearity index α was approximately 10 for the thin film deposited on the alumina substrate and annealed at 800°C in air using a target of ZnO doped with 2.5 mol% Bi2O3, 0.5 mol% MnO2 and 0.2 mol% Co3O4. Moreover, the current density of 20 A/cm3 was relatively high for safety use.
APA, Harvard, Vancouver, ISO, and other styles
18

NAWAZ. RIZWAN, M., M. A. KALYAR, C. BELL, M. ANWAR-UL-HAQ, and A. R. MAKHDOOM. "NICKEL THIN FILMS GROWN BY PULSED LASER DEPOSITION: INFLUENCE OF SUBSTRATE AND SUBSTRATE TEMPERATURE." Digest Journal of Nanomaterials and Biostructures 15, no. 4 (December 2020): 1141–51. http://dx.doi.org/10.15251/djnb.2020.154.1141.

Full text
Abstract:
Nickel thin films were grown on glass and copper substrate in ultrahigh vacuum at energy density 2×1010 watt/cm2 using Nd:YAG laser. XRD analysis showed the amorphous growth of thin film at low substrate temperature (Ts) while higher Ts supported crystalline growth. Magnetic moment, magnetic residual ratio and coercivity of thin films decreased with increase in Ts for both substrates. However, this decrease was sharp for films deposited on glass substrate as compared to that deposited on copper in Ts range 100oC-500oC. Electrical resistivity of thin film grown on glass substrate decreased while Hall carrier mobility increased with increase in Ts
APA, Harvard, Vancouver, ISO, and other styles
19

Merie, Violeta V., Nicolae V. Burnete, Corina Bîrleanu, and Marius Pustan. "Nanocharacterization of metallic thin films deposited on different substrates." Materials Science-Poland 38, no. 1 (March 1, 2020): 8–16. http://dx.doi.org/10.2478/msp-2019-0095.

Full text
Abstract:
AbstractThe purpose of this study was to determine the influence of different substrates (C45 steel, polycarbonate, glass) on the topography as well as tribological and mechanical properties (nanohardness, modulus of elasticity and friction force) of aluminum, gold and silver thin films. The 3D image analysis showed a strong influence of the substrate material on the topography of the studied thin films with no certain variation rule. Using the Oliver and Pharr method for determining nanohardness it was observed that, the smallest values were obtained for the thin films deposited on plastic substrate, followed by glass and C45 steel, regardless of the deposited material. The determination of the modulus of elasticity was done using the Hertzian method. The obtained results showed that the highest values of this parameter were obtained for the films deposited on plastic substrate, while the lowest values depended on both the deposited material and substrate. Friction force analysis for aluminum and gold showed a significant impact of the substrate material, with more constant values for gold. As a consequence, one must pay a particular attention when choosing the material for the substrate on which the thin films are deposited.
APA, Harvard, Vancouver, ISO, and other styles
20

Jung, Hun Chae, Han Ki Yoon, and Yun Sik Yu. "Mechanical Properties and Process of ZnO Deposited Various Substrates." Key Engineering Materials 297-300 (November 2005): 533–38. http://dx.doi.org/10.4028/www.scientific.net/kem.297-300.533.

Full text
Abstract:
ZnO is an n-type semiconductor having a hexagonal wurzite structure. ZnO exhibits good piezoelectric, photoelectric and optical properties and might be a good candidate for an electroluminescence device like an UV laser diode. But the important problems, such as substrate kinds and substrate temperature are raised its head, so they need to optimize deposit condition. Because these devices are very small and films are very thin, those are often prepared in limited quantities and shapes unsuitable for the extensive mechanical test. In this present work, ZnO thin films are prepared on the glass, GaAs (100), Si (111) and Si (100) substrates at different temperatures by the pulsed laser deposition (PLD) method. ZnO was evaluated in term of crystalline through X-ray diffraction (XRD), mechanical properties such as hardness, elastic modulus through nano-indenter. XRD measurements indicate that the substrate temperature of 200-500, 200-500, 300-500, and 300-500oC was the optimized conditions of crystalline for the glass, GaAs (100), Si (111), and Si (100) substrates, respectively. In spite of the films deposited on the different substrates, the films always show (002) orientation at the optimized conditions. Mechanical properties such as hardness and elastic modulus are influenced substrate crystallization. In case of Si (111) substrate, hardness and elastic modulus are about 10, 150GPa, respectively.
APA, Harvard, Vancouver, ISO, and other styles
21

Lee, Jaeyeong, Kyungchan Min, Youngho Kim, and Hak Ki Yu. "Surface-Enhanced Raman Spectroscopy (SERS) Study Using Oblique Angle Deposition of Ag Using Different Substrates." Materials 12, no. 10 (May 14, 2019): 1581. http://dx.doi.org/10.3390/ma12101581.

Full text
Abstract:
The oblique angle deposition of Ag with different deposition rates and substrates was studied for surface-enhanced Raman spectroscopy (SERS) efficiency. The deposition rate for the Ag substrate with maximum SERS efficiency was optimized to 2.4 Å/s. We also analyzed the morphology of Ag nanorods deposited at the same rate on various substrates and compared their SERS intensities. Ag deposited on SiO2, sapphire, and tungsten showed straight nanorods shape and showed relatively high SERS efficiency. However, Ag deposited on graphene or plasma-treated SiO2 substrate was slightly or more aggregated (due to high surface energy) and showed low SERS efficiency.
APA, Harvard, Vancouver, ISO, and other styles
22

Huang, Jen Ching, Yi Chia Liao, Huail Siang Liu, and Fu Jen Cheng. "The Study on Deposition Process and Mechanical Properties of Deposited Cu Thin Films Using Molecular Dynamics." Advanced Materials Research 684 (April 2013): 37–41. http://dx.doi.org/10.4028/www.scientific.net/amr.684.37.

Full text
Abstract:
This paper studies the deposition process and mechanical properties of Cu thin films deposited on single crystal copper substrates with various surface roughnesses by molecular dynamics (MD). In the effect of vacancy concentration (Cv) of substrate, the Young's modulus of sample decreased as the Cv of substrate increased but the adhesion force will increase as the Cv of substrate increases. The effect of substrate roughness on the peak intensity of crystal orientation has little. And the greater Cv of substrate, the surface roughness of the deposited thin film also increased. In the effect of numbers of deposited atoms, the deposited thin film thickness increases, the surface will be relatively flat and the Young's modulus will also increase. By the XRD pattern, the principal growth directions of thin film are the (220) and (200) in the early stage of growth during deposition. However, with the thickness increasing, the (111) will be the preferred orientation.
APA, Harvard, Vancouver, ISO, and other styles
23

Meyer, Duane E., Natale J. Ianno, John A. Woollam, A. B. Swartzlander, and A. J. Nelson. "Growth of diamond by rf plasma-assisted chemical vapor deposition." Journal of Materials Research 3, no. 6 (December 1988): 1397–403. http://dx.doi.org/10.1557/jmr.1988.1397.

Full text
Abstract:
A system has been designed and constructed to produce diamond particles by inductively coupled radio-frequency, plasma-assisted chemical vapor deposition. This is a low-pressure, low-temperature process used in an attempt to deposit diamond on substrates of glass, quartz, silicon, nickel, and boron nitride. Several deposition parameters have been varied including substrate temperature, gas concentration, gas pressure, total gas flow rate, rf input power, and deposition time. Analytical methods employed to determine composition and structure of the deposits include scanning electron microscopy, absorption spectroscopy, scanning Auger microprobe spectroscopy, and Raman spectroscopy. Analysis indicates that particles having a thin graphite surface, as well as diamond particles with no surface coatings, have been deposited. Deposits on quartz have exhibited optical bandgaps as high as 4 5 eV. Scanning electron microscopy analysis shows that particles are deposited on a pedestal which Auger spectroscopy indicates to be graphite. This is a phenomenon that has not been previously reported in the literature.
APA, Harvard, Vancouver, ISO, and other styles
24

Sacken, U. von, and D. E. Brodie. "Structure of vacuum-deposited Zn3P2 films." Canadian Journal of Physics 64, no. 10 (October 1, 1986): 1369–73. http://dx.doi.org/10.1139/p86-243.

Full text
Abstract:
The structure of polycrystalline Zn3P2 films has been studied for 1- to 2-μm-thick vacuum-deposited films on glass substrates. Transmission electron microscopy and X-ray diffraction techniques have been used to obtain a detailed, quantitative analysis of the film structure. The initial growth consists of small (≤ 10 nm), randomly oriented grains. As the film thickness increases, the growth of crystallites with the {220} planes oriented approximately parallel to the substrate is favoured, and a columnar structure develops along with a highly preferred orientation. This structure has been observed directly by transmission electron microscopy of thin cross sections of the films. The size of the grains at the free surface increases with the film thickness, reaching approximately 200–300 nm when the film is 1 μm thick. The effects of substrate temperature and low-energy (0.5–2 keV) electron bombardment of the film during growth have also been studied. Neither substrate temperature nor electron bombardment appear to have a major effect on the film structure. The primary effect of electron bombardment appears to be the creation of preferred nucleation sites on the substrate.
APA, Harvard, Vancouver, ISO, and other styles
25

Fisher, Idajean M., and David A. Smith. "Grain Boundary Migration and the Texture of Films." Textures and Microstructures 13, no. 2-3 (January 1, 1991): 91–99. http://dx.doi.org/10.1155/tsm.13.91.

Full text
Abstract:
Extensive studies of the microstructure of deposited films establish that grain boundary migration is a ubiquitous process in the development of microstructure and frequently the key process in the formation of a preferred orientation. This conclusion is supported by the interpretation of observations of the structure and orientation of films as a function of substrate temperature and post deposition annealing. Epitaxial deposits can result from oriented nucleation or selective growth processes. On amorphous substrates the anisotropy of the interfacial energies of the deposit results in a fiber texture at temperatures when grain growth occurs either during deposition or in a post-deposition anneal.
APA, Harvard, Vancouver, ISO, and other styles
26

Chen, Yu Ju, and Wen Cheng J. Wei. "Investigation of YSZ Thin Films on Silicon Wafer and NiO/YSZ Deposited by Ion Beam Sputtering Deposition (IBSD)." Key Engineering Materials 336-338 (April 2007): 1788–90. http://dx.doi.org/10.4028/www.scientific.net/kem.336-338.1788.

Full text
Abstract:
Ion-beam sputtering deposition is a physical deposited method which uses accelerated ionbeam to sputter oxide or metal targets, and deposits atoms on substrate. Thin films of yttrium-stabilized zirconia (YSZ) were deposited on Si (100) wafer and NiO/YSZ plate. Scanning electron microscopy and transmission electron microscopy with EDS were employed to study the microstructural and chemically stoichiometric results of the films and the crystal growth process by various heat treatments. X-ray diffraction was also used to analysis crystalline phase of the YSZ films. The influence of different targets, substrates deposited efficiency and the properties of the film will be presented and discussed.
APA, Harvard, Vancouver, ISO, and other styles
27

Xu, Fang Chao, and Kazuhiro Kusukawa. "Adhesion Strength of BNT Films Hydrothermally Deposited on Titanium Substrates." Advanced Materials Research 123-125 (August 2010): 399–402. http://dx.doi.org/10.4028/www.scientific.net/amr.123-125.399.

Full text
Abstract:
Lead-free piezoelectric (Bi1/2Na1/2)TiO3 (BNT) films were deposited on 1 mm thick pure titanium(Ti) substrates by a hydrothermal method. Tensile tests were performed to quantitatively assess the adhesion strength between BNT films and Ti substrates. Ti substrates were pretreated by chemical polish and mechanical polish respectively prior to BNT film deposition. In the tensile test, the behavior of BNT film exfoliation was investigated by the replica method. The critical Ti substrate strain inducing BNT film exfoliation was determined by the aid of finite element analysis (FEM). In this study, the results revealed that BNT film exfoliations were caused by the strain of Ti substrate, and the mechanical polish pretreatment improved the adhesion of BNT film to Ti substrate.
APA, Harvard, Vancouver, ISO, and other styles
28

Nan, Junyi, Min Li, Ling Zhang, Shuai Yuan, Boqu He, and Heping Zeng. "Terahertz and Photoelectron Emission from Nanoporous Gold Films on Semiconductors." Nanomaterials 9, no. 3 (March 12, 2019): 419. http://dx.doi.org/10.3390/nano9030419.

Full text
Abstract:
Efficient terahertz and photoelectron emission were observed from nano-porous gold (NPG) films deposited on an intrinsic gallium arsenide (GaAs) semiconductor substrate stimulated by femtosecond laser with pulse width of 60 fs. Time-domain THz emission and reflection spectroscopy confirmed that the free charges accelerated by irradiated femtosecond laser pulses transferred from the NPG films into the GaAs substrates. Accordingly, charges accumulation was reduced in the NPG films, resulting in a stronger emission of THz pulse than that from NPG films deposited on SiO2 substrate. Charges injected into the GaAs substrate enforced an observable decrease of the THz refractive index proportional to the intensity of incident light. In comparison, for NPG deposited on glass substrates, laser induced free charges were accumulated in the NPG films, and femtosecond laser pulses irradiating on the NPG films made no changes of the THz refractive index of the glass substrates.
APA, Harvard, Vancouver, ISO, and other styles
29

Zhang, Xiaolin, Yi Ding, Honglu Ma, Ruibin Zhao, Liangquan Wang, and Fanyong Zhang. "Microstructure and Mechanical Properties of Co-Deposited Ti-Ni Films Prepared by Magnetron Sputtering." Coatings 13, no. 3 (February 27, 2023): 524. http://dx.doi.org/10.3390/coatings13030524.

Full text
Abstract:
Ti-Ni films with various Ni contents (16.5, 22.0, 33.5 at. %) were deposited on Al alloy substrates using DC magnetron co-sputtering. The effects of Ni target power and substrate bias (−10, −70, −110 V) on morphologies, crystallography, nanomechanical properties and scratch behavior of films were studied. All the deposited Ti-Ni films exhibited a BCC structure of β-Ti (Ni). The Ti-Ni films grew with a normal columnar structure with good bonding to substrates. When increasing the Ni target power and substrate bias, the grain size grew larger and the surface became denser. The as-deposited Ti-Ni films significantly improved the hardness (>4 GPa) of the Al alloy substrate. With the increase of bias voltage, the hardness and modulus of the film increased. The hardness and modulus of the Ti-22.0Ni film prepared at −70 V bias were 5.17 GPa and 97.6 GPa, respectively, and it had good adhesion to the substrate.
APA, Harvard, Vancouver, ISO, and other styles
30

Tiwari, Ruchi, and Sudhir Chandra. "Effect of Substrate Temperature on Properties of Silicon Nitride Films Deposited by RF Magnetron Sputtering." Advanced Materials Research 254 (May 2011): 187–90. http://dx.doi.org/10.4028/www.scientific.net/amr.254.187.

Full text
Abstract:
In the present work, we report the preparation, characterization and application of silicon nitride thin films deposited by RF magnetron sputtering on oxidized silicon substrates. The properties of the films were investigated with respect to the substrate temperature during film deposition. X-ray energy dispersive spectroscopy confirms the presence of silicon and nitrogen in the films. The X-ray diffraction results indicate that the films were amorphous when deposited without external substrate heating. On the other hand, the deposition on heated substrate (300 °C) results in weakly crystalline structure. Spectral reflectance technique was used for thickness and refractive index measurements. With substrate heating, the refractive index was observed to increase. Atomic force microscope images revealed that the films were smooth and had uniform texture. The etching characteristics of the films in buffered hydrofluoric acid at room temperature and 40 wt % potassium hydroxide at 80 °C were also investigated. Significant reduction in etch rates was observed when the films were deposited on heated substrates. Using the sputter deposited silicon nitride films, microstructures such as cantilevers and diaphragms, which are basic building blocks in micro-electro-mechanical system (MEMS) based sensors, were fabricated using micromachining techniques.
APA, Harvard, Vancouver, ISO, and other styles
31

Yan, Bao Jun, Shu Lin Liu, Xiao Wei Liu, and Ting Ting Jiang. "Effect of Hydrogen Dilution Ratio and Substrate Roughness on the Microstructure of Intrinsic Microcrystalline Silicon Thin Films." Advanced Materials Research 936 (June 2014): 202–6. http://dx.doi.org/10.4028/www.scientific.net/amr.936.202.

Full text
Abstract:
Intrinsic microcrystalline silicon (μc-Si:H) thin films were deposited on four kinds of substrates (polished quartz glass: PG, Rough quartz glass: RG, Textured SnO2:F coated glass: TG, Textured ZnO:Al coated glass: ZG) by 13.56 MHz plasma enhanced chemical vapor deposition (PECVD) with different hydrogen dilution ratio (RH=H2/SiH4) under the pressure of 2 Torr. The film thickness, crystalline volume fraction (XC) and substrate surface roughness (Ra) were measured by surface profilometer, Raman spectra and atom force microscopy (AFM), respectively. The results revealed that with the increase of RH, the deposition rate decreased and XC increased monotonously for the films deposited on the same substrate, but the substrate Ra had an obvious impact on the film microstructure. A physical model was proposed to illustrate the growth of the μc-Si:H thin films deposited on substrates with different Ra.
APA, Harvard, Vancouver, ISO, and other styles
32

Martinschitz, K. J., E. Eiper, S. Massl, H. Köstenbauer, R. Daniel, G. Fontalvo, C. Mitterer, and J. Keckes. "Rapid determination of stress factors and absolute residual stresses in thin films." Journal of Applied Crystallography 39, no. 6 (November 10, 2006): 777–83. http://dx.doi.org/10.1107/s002188980603322x.

Full text
Abstract:
A methodology is presented that allows the determination of experimental stress factors in thin films on the basis of static diffraction measurements. The approach relies on the characterization of thin films deposited on a monocrystalline substrate serving as a mechanical sensor. Rocking-curve measurements of the symmetrical reflections of the substrate are used to determine the substrate curvature and subsequently the macroscopic stress imposed on the film. The elastic strain in the film is determined by lattice-spacing measurement at different sample tilt angles. The calculated experimental stress factors are applied to thin films deposited on other types of substrates and are used to determine the absolute magnitude of the residual stress. The approach is applied to nanocrystalline TiN and CrN thin films deposited on Si(100) and steel substrates, characterized using a laboratory-type θ/θ goniometer.
APA, Harvard, Vancouver, ISO, and other styles
33

Mahamood, RM, JO Aweda, KR Ajao, S. AbdulKareem, and HA Ajimotokan. "SCANNING SPEED INFLUENCE ON THE PHYSICAL PROPERTIES OF LASER METAL DEPOSITION TITANIUM ALLOY." Nigerian Journal of Technology 36, no. 1 (December 29, 2016): 132–37. http://dx.doi.org/10.4314/njt.v36i1.17.

Full text
Abstract:
The most commonly used aerospace titanium alloy, Ti6Al4V, was deposited on Ti6Al4V plate of dimension 72 x 72 x5mm. The laser power of 3 kW, powder flow rate of 1.44 g/min and gas flow rate of 4 l/min were used throughout the deposition process. The transverse/ scanning speed was varied between 0.005 to 0.095 m/sec according to established result of the preliminary study that produces full dense and pore free deposits. The mass of the deposited powder was obtained by weighing the substrate before the deposition and reweighing after the deposition. The substrate and the deposits were thoroughly cleaned using wire brush and acetone to remove unmelted powder particles from the surface of the substrate and the deposit. The height and width of the deposits were measured with Venier Caliper and the material efficiencies were determined using developed equations. The objective of this research is to determine the influence of the scanning speed on the material efficiency and deposit height in laser metal deposition of titanium alloy- Ti6Al4V. The results of this study showed that, for the set of processing parameter used in this study, the optimum scanning speed was at 0.015 m/sec with the optimum material utilization efficiency of 83.33% and the deposition height of 1.09 mm. http://dx.doi.org/10.4314/njt.v36i1.17
APA, Harvard, Vancouver, ISO, and other styles
34

Hasan, M. K., M. N. A. Shafi, M. N. A. Siddiquy, M. A. Rahim, and M. J. Islam. "Electrical, Magnetic and Morphological Properties of E-Beam Evaporated Ni Thin Films." Journal of Scientific Research 8, no. 1 (January 1, 2016): 21–28. http://dx.doi.org/10.3329/jsr.v8i1.24492.

Full text
Abstract:
Nickel (Ni) thin films in the thickness range 50?80 nm have been prepared by electron beam evaporation method at a base pressure of 4x10-5 mbar on silicon and glass substrates. Some samples have been annealed at 573 K for 1.5 h in open air. The resistivity of Ni films on silicon substrate is higher than the resistivity of Ni films on glass substrate. The TCR of Ni films is found to be positive which indicates that the Ni samples are metallic in nature. Coercivity of Ni films increases with increasing film thickness. The coercivity of 80 nm as-deposited Ni film on glass substrate is found to be ~ 9 Oe. The rms value of the surface roughness of 150 nm as-deposited Ni film on glass substrate is ~12 nm and it becomes ~ 7 nm after annealing. On the other hand, the coercivity of 90 nm and 160 nm as-deposited Ni films on silicon substrate are 50 Oe and 85 Oe, respectively. The rms value of surface roughness of 120 nm as-deposited Ni film on Si substrate is ~ 16 nm. It becomes ~ 3 nm after annealing.
APA, Harvard, Vancouver, ISO, and other styles
35

Brankovic, Zorica, G. Brankovic, A. Tucic, A. Radojkovic, E. Longo, and J. A. Varela. "Aerosol deposition of Ba0.8Sr0.2TiO3 thin films." Science of Sintering 41, no. 3 (2009): 303–8. http://dx.doi.org/10.2298/sos0903303b.

Full text
Abstract:
In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0) or Si covered by platinum (Pt (1 1 1) /Ti/SiO2/Si). Investigation showed that the best films were obtained at substrate temperature of 85?C. After deposition films were slowly heated up to 650?C, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.
APA, Harvard, Vancouver, ISO, and other styles
36

Kaludjerovic, Branka V., Vladislava M. Jovanovic, Sanja I. Stevanovic, Zarko D. Bogdanov, Sanja S. Krstic, and Vladimir Dodevski. "Characterization of carbon fibrous material from platanus achenes as platinum catalysts support." Metallurgical and Materials Engineering 26, no. 4 (December 31, 2020): 375–83. http://dx.doi.org/10.30544/588.

Full text
Abstract:
Carbon materials with developed porosity are usually used as supports for platinum catalysts. Physico-chemical characteristics of the support influence the properties of platinum deposited and its catalytic activity. In our studies, we deposited platinum on carbon fibrous like materials obtained from platanus seeds - achenes. The precursor was chemically activated with different reagents: NaOH, pyrogallol, and H2O2, before the carbonization process. Platinum was deposited on all substrates to study the influence of the substrate properties on the activity of the catalyst. Carbon materials were characterized by nitrogen adsorption/desorption isotherms measurements, X-ray diffraction, and scanning electron microscopy. It was noticed that the adsorption characteristics of carbon support affected the structure of platinum deposits and thus their activity.
APA, Harvard, Vancouver, ISO, and other styles
37

Sato, Yuichi, Toshifumi Suzuki, Hiroyuki Mogami, Fumito Otake, Hirotoshi Hatori, and Suguru Igarashi. "Solid Phase Growth of some Metal and Metal Oxide Thin Films on Sapphire and Quartz Glass Substrates." Materials Science Forum 753 (March 2013): 505–9. http://dx.doi.org/10.4028/www.scientific.net/msf.753.505.

Full text
Abstract:
Solid phase growth of thin films of copper (Cu), aluminum (Al) and zinc oxide (ZnO) on single crystalline sapphire and quartz glass substrates were tried by heat-treatments and their crystallization conditions were investigated. ZnO thin films relatively easily recrystallized even when they were deposited on the amorphous quartz glass substrate. On the other hand, Cu and Al thin films hardly recrystallized when they were deposited on the quartz glass substrate. The metal thin films could be recrystallized at only extremely narrow windows of the heat-treatment conditions when they were deposited on the single crystalline sapphire substrate. The window of the solid phase heteroepitaxial growth condition of the Al film was wider than that of the Cu film.
APA, Harvard, Vancouver, ISO, and other styles
38

De Riccardis, Maria Federica, Daniela Carbone, Emanuela Piscopiello, Antonella Rizzo, and Marco Vittori Antisari. "Sintering of EPD Ceramic Coatings by Electron Beam." Advances in Science and Technology 45 (October 2006): 1200–1205. http://dx.doi.org/10.4028/www.scientific.net/ast.45.1200.

Full text
Abstract:
In order to obtain wear resistant coating as well as thermal barrier on metallic substrates by EPD, the conventional high temperature treatments are inapplicable; so we used an alternative method to densify and make the electrophoretic deposit more adherent. In this work we described a novel method to obtain EPD deposits with good density and adherence to stainless steel substrate. At first, we achieved stabilized alumina and alumina-zirconia based suspensions; to improve the adhesion of ceramic coating on metal, some stainless steel substrates were sandblasted, others were coated with titanium bond layers. Then the substrates were coated by EPD; finally, we used the electron beam to treat the ceramic coating-metallic substrate system on the surface; in this way we obtained adherent and dense EPD coatings. In order to evaluate the quality and the microstructure of the coating sintering, the samples were observed by scanning and transmission electron microscopy; pull tests showed the adhesion of treated EPD coating was about one hundred times higher than that of deposited EPD coating.
APA, Harvard, Vancouver, ISO, and other styles
39

Wang, Y. L., M. C. Li, X. K. Chen, G. Wu, J. P. Yang, R. Wang, and L. C. Zhao. "Nano-Polycrystalline Vanadium Oxide Thin Films Prepared by Pulsed Laser Deposition." Journal of Nanoscience and Nanotechnology 8, no. 5 (May 1, 2008): 2604–8. http://dx.doi.org/10.1166/jnn.2008.18290.

Full text
Abstract:
Nano-polycrystalline vanadium oxide thin films have been successfully produced by pulsed laser deposition on Si(100) substrates using a pure vanadium target in an oxygen atmosphere. The vanadium oxide thin film is amorphous when deposited at relatively low substrate temperature (500 °C) and enhancing substrate temperature (600–800 °C) appears to be efficient in crystallizing VOx thin films. Nano-polycrystalline V3O7 thin film has been achieved when deposited at oxygen pressure of 8 Pa and substrate temperature of 600 °C. Nano-polycrystalline VO2 thin films with a preferred (011) orientation have been obtained when deposited at oxygen pressure of 0.8 Pa and substrate temperatures of 600–800 °C. The vanadium oxide thin films deposited at high oxygen pressure (8 Pa) reveal a mix-valence of V5+ and V4+, while the VOx thin films deposited at low oxygen pressure (0.8 Pa) display a valence of V4+. The nano-polycrystalline vanadium oxide thin films prepared by pulsed laser deposition have smooth surface with high qualities of mean crystallite size ranging from 30 to 230 nm and Ra ranging from 1.5 to 22.2 nm. Relative low substrate temperature and oxygen pressure are benifit to aquire nano-polycrystalline VOx thin films with small grain size and low surface roughness.
APA, Harvard, Vancouver, ISO, and other styles
40

Gillispie, Meagen A., Maikel F. A. M. van Hest, Matthew S. Dabney, John D. Perkins, and David S. Ginley. "Sputtered Nb- and Ta-doped TiO2 transparent conducting oxide films on glass." Journal of Materials Research 22, no. 10 (October 2007): 2832–37. http://dx.doi.org/10.1557/jmr.2007.0353.

Full text
Abstract:
Radio frequency (rf) magnetron sputtering is used to deposit Ti0.85Nb0.15O2 and Ti0.8Ta0.2O2 films on glass substrates at substrate temperatures (TS) ranging from ∼250 to 400 °C. The most conducting Nb-doped TiO2 films were deposited at TS = 370 °C, with conductivities of ∼60 S/cm, carrier concentrations of 1.5 × 1021 cm−3 and mobilities <1 cm2/V·s. The conductivity of the films was limited by the mobility, which was more than 10 times lower than the mobility for films deposited epitaxially on SrTiO3. The difference in properties is likely caused by the randomly oriented crystal structure of the films deposited on glass compared with biaxially textured films deposited on SrTiO3. The anatase phase could not be stabilized in the Ta-doped TiO2 films, likely because of the high dopant concentration.
APA, Harvard, Vancouver, ISO, and other styles
41

Malshe, A. P., S. M. Chaudhari, S. M. Kanetkar, S. B. Ogale, S. V. Rajarshi, and S. T. Kshirsagar. "Properties of carbon films deposited by pulsed laser vaporization from pyrolytic graphite." Journal of Materials Research 4, no. 5 (October 1989): 1238–42. http://dx.doi.org/10.1557/jmr.1989.1238.

Full text
Abstract:
Amorphous carbon films have been deposited on silicon 〈111〉 and quartz substrates by pulsed ruby laser vaporization from pyrolytic graphite. Depositions have been carried out at different substrate temperatures, and the properties of the deposited carbon films have been studied using IR and UV–VIS transmission, ellipsometry, and laser-Raman spectroscopies. Chemical and electrical resistivity measurements have also been performed. It is shown that the film properties depend critically on the substrate temperature and that at the substrate temperature of 50 °C films with substantial proportion of sp3 hybridized orbitals are obtained.
APA, Harvard, Vancouver, ISO, and other styles
42

Yi, Jiyong, Yinchao Xu, Zhixiong Liu, and Lijuan Xiao. "Effect of TiC Content and TaC Addition in Substrates on Properties and Wear Behavior of TiAlN-Coated Tools." Coatings 12, no. 12 (December 7, 2022): 1911. http://dx.doi.org/10.3390/coatings12121911.

Full text
Abstract:
The present paper reports a new way to improve the wear resistance of coated carbide tools by increases in TiC content and the addition of TaC in substrates. The results suggest that the average grain size of the substrate increased with the increases in TiC (0–14 wt.%) content, and the hardness of the TiAlN coating deposited on the substrate exhibits a similar trend. In addition, the adhesion strength of the TiAlN-coated carbide increases with increasing TiC content, which can be attributed the formation of the (Ti,W)C phase and the similar hardness of the substrate and coating. The addition of TaC into the substrates inhibits the grain growth and thereby causes the hardness and adhesion strength of the TiAlN coatings to improve from 24.6 GPa and 16.7 N to 30.1 GPa and 17.3 N, respectively. In turning tests, the TiAlN coating deposited on the substrates with the TaC addition achieved the best wear resistance in turning stainless steel because it possessed the highest substrate and coating hardness and sufficient adhesion strength. However, the TiAlN coating deposited on the substrates with a higher TiC content shows the better wear resistance in turning titanium (TC4), which can be attributed to it having the highest adhesion strength.
APA, Harvard, Vancouver, ISO, and other styles
43

Kishi, Yoichi, Noriaki Ikenaga, Noriyuki Sakudo, and Zenjiro Yajima. "Low Temperature Crystallization of Sputter-Deposited TiNi Films." Advances in Science and Technology 78 (September 2012): 81–86. http://dx.doi.org/10.4028/www.scientific.net/ast.78.81.

Full text
Abstract:
We have found that deposited film can be crystallized without the post-annealing treatment but with the simultaneous ion-irradiation during sputter-deposition at very low substrate temperature. The present paper reviews the low temperature crystallized TiNi films deposited by the above technique. An RF magnetron sputtering apparatus equipped with separate confocal sources as well as with a heating and ion-irradiating system for substrates was used to make the films crystalline. Without using the ion-irradiating system, the films deposited on ambient-temperature substrate have been amorphous. However, crystallized film is deposited even at 353 K of substrate temperature with using the system. Appropriate ion-irradiation is considered to be help to crystallize the film at low substrate temperature. Broad and doublet X-ray diffraction profile of the film, which was diffracted from B19’ and/or R phase, was recorded between 42 degree to 45 degree in 2 theta. The crystallized film deposited on a polyimide sheet was cut into the shape of a double-beam cantilever and the ends of the two beams were connected to an electrical power supply. The cantilever shows a repeatable two-way motion by electrical cycle of 0.1 Hz at room temperature.
APA, Harvard, Vancouver, ISO, and other styles
44

HAMZAH, ESAH, MUBARAK ALI, and MOHD RADZI HJ MOHD TOFF. "EFFECT OF SUBSTRATE BIAS ON FRICTION COEFFICIENT, ADHESION STRENGTH AND HARDNESS OF TiN-COATED TOOL STEEL." Surface Review and Letters 13, no. 06 (December 2006): 763–71. http://dx.doi.org/10.1142/s0218625x06008827.

Full text
Abstract:
In the present study, TiN coatings have been deposited on D2 tool steel substrates by using cathodic arc physical vapor deposition technique. The objective of this research work is to determine the usefulness of TiN coatings in order to improve the micro-Vickers hardness and friction coefficient of TiN coating deposited on D2 tool steel, which is widely used in tooling applications. A Pin-on-Disc test was carried out to study the coefficient of friction versus sliding distance of TiN coating deposited at various substrate biases. The standard deviation parameter during tribo-test result showed that the coating deposited at substrate bias of -75 V was the most stable coating. A significant increase in micro-Vickers hardness was recorded, when substrate bias was reduced from -150 V to zero. Scratch tester was used to compare the critical loads for coatings deposited at different bias voltages and the adhesion achievable was demonstrated with relevance to the various modes, scratch macroscopic analysis, critical load, acoustic emission and penetration depth. A considerable improvement in TiN coatings was observed as a function of various substrate bias voltages.
APA, Harvard, Vancouver, ISO, and other styles
45

Nehate, Shraddha Dhanraj, Ashwin Kumar Saikumar, and Kalpathy B. Sundaram. "Influence of Substrate Temperature on Electrical and Optical Properties of Hydrogenated Boron Carbide Thin Films Deposited by RF Sputtering." Coatings 11, no. 2 (February 9, 2021): 196. http://dx.doi.org/10.3390/coatings11020196.

Full text
Abstract:
Amorphous hydrogenated boron carbide films were deposited on silicon and glass substrates using radio frequency sputtering. The substrate temperature was varied from room temperature to 300 °C. The substrate temperature during deposition was found to have significant effects on the electrical and optical properties of the deposited films. X-ray photoelectron spectroscopy (XPS) revealed an increase in sp2-bonded carbon in the films with increasing substrate temperature. Reflection electron energy loss spectroscopy (REELS) was performed in order to detect the presence of hydrogen in the films. Metal-insulator-metal (MIM) structure was developed using Al and hydrogenated boron carbide to measure dielectric value and resistivity. Deposited films exhibited lower dielectric values than pure boron carbide films. With higher substrate deposition temperature, a decreasing trend in dielectric value and resistivity of the films was observed. For different substrate temperatures, the dielectric value of films ranged from 6.5–3.5, and optical bandgap values were between 2.25–2.6 eV.
APA, Harvard, Vancouver, ISO, and other styles
46

Mueller, C. H., P. H. Holloway, J. D. Budai, F. A. Miranda, and K. B. Bhasin. "YBa2Cu3O7−x films on off-axis Y-ZrO2 substrates using Y-ZrO2 or Y2O3 barrier layers." Journal of Materials Research 10, no. 4 (April 1995): 810–16. http://dx.doi.org/10.1557/jmr.1995.0810.

Full text
Abstract:
YBa2Cu3O7−x (YBCO) and barrier layer films were deposited on single-crystal (Y2O3)0.09(ZrO2)0.91 substrates cut between 3.6 and 35.7°off-axis from the (001) planes. The barrier layers were (Y2O3)0.065(Y-ZrO2)0.935(Y-ZrO2), Y2O3, or multilayered structures of Y-ZrO2 and Y2O3. X-ray diffraction showed that the Y-ZrO2 and Y2O3 barrier layers generally grew epitaxially on the off-axis substrates, with the (001) barrier layer film planes being parallel to those of the substrate, and the (100) directions being parallel. YBCO films deposited on Y2O3 barrier layers also showed epitaxy with the YBCO (001) planes being nearly parallel to the substrate (001) planes, even for miscuts up to 35.7°. In contrast, the (001) planes of YBCO films deposited on Y-ZrO2 barrier layers were almost parallel to the substrate surface, not the (001) substrate planes. However, YBCO films on Y-ZrO2 films maintained particular in-plane epitaxial orientations with respect to the substrate. The YBCO full-width at half-maximum (FWHM) x-ray peaks were slightly narrower (0.8°) on Y2O3 barrier layers than on Y-ZrO2 layers (1.3°). The electrical resistivity versus temperature behavior of the YBCO/Y2O3 films was consistent with increased grain boundary scattering as the degree of substrate miscut increased, whereas YBCO/Y-ZrO2 films' resistivities showed less sensitivity to substrate miscut, consistent with the loss of epitaxy.
APA, Harvard, Vancouver, ISO, and other styles
47

Shyju, T. S., S. Anandhi, R. Sivakumar, and R. Gopalakrishnan. "Studies on Lead Sulfide (PbS) Semiconducting Thin Films Deposited from Nanoparticles and Its NLO Application." International Journal of Nanoscience 13, no. 01 (February 2014): 1450001. http://dx.doi.org/10.1142/s0219581x1450001x.

Full text
Abstract:
Nanoparticle Lead sulfide was synthesized via simple chemical method and deposited on glass substrates at different substrate temperatures by thermal evaporation technique. The synthesized nanoparticle PbS was analyzed and confirmed by X-ray diffraction (XRD), Scanning electron microscopy SEM with EDX and thermogravimetry. The structural, optical, morphological and electrical properties of the deposited films were studied using XRD, UV-Vis, Raman, SEM with EDX, atomicforce microscopy AFM and Hall Effect measurements. The thickness of the deposited samples was measured using thickness profilometer. The Raman shift in the peak occurs toward lower energy with increasing substrate temperature deposited lead sulfide. The Z-scan study with open aperture was carried out at 532 nm using 5 ns laser pulse on the deposited films which shows that nonlinear absorption arises from saturable absorption process. The deposited PbS film exhibits p-type conductivity in Hall measurement.
APA, Harvard, Vancouver, ISO, and other styles
48

Kassem, Wassim, Malek Tabbal, and Mohamad Roumie. "Pulsed Laser Deposition of Tungsten Thin Films on Graphite." Advanced Materials Research 324 (August 2011): 77–80. http://dx.doi.org/10.4028/www.scientific.net/amr.324.77.

Full text
Abstract:
Thin coatings of Tungsten were deposited on substrates fabricated by pre-depositing graphite thin layers on Si(100) wafers. We ablate pure W target using a 20 ns KrF excimer laser (248 nm) in an Ar ambient. The effect of background gas pressure, substrate temperature, and laser fluence, on the properties of the deposited W layers is studied using several techniques including X-Ray Diffraction, Atomic Force Microscopy, surface profilometry, and Rutherford Back-Scattering spectrometry. Our results indicate that the deposited layers consist of the well-crystallized body-centered-cubic α-W phase with bulk-like properties, particularly for films deposited at a substrate temperature of 450°C, laser fluence greater than 400mJ, and pressure of about 10mTorr.
APA, Harvard, Vancouver, ISO, and other styles
49

Silva de Medeiros, Waléria, Luiz Carlos Pereira, Robson Pacheco Pereira, and Marize Varella de Oliveira. "Characterization of CaP Coating Deposited on Porous Titanium." Key Engineering Materials 396-398 (October 2008): 307–10. http://dx.doi.org/10.4028/www.scientific.net/kem.396-398.307.

Full text
Abstract:
Synthetic Hydroxyapatite (HA) has been used as coating in order to enhance biocompatibility of titanium implants. Osseointegration at the implant-bone interface can be positively affected by the presence of HA coating and other biocompatible calcium phosphates (CaP) deposited on titanium implants, due to the high biocompatibility of these bioceramics. The biomimetic process is based on the nucleation and growth of a bioceramic film onto a substrate immersed in a body fluid solution (SBF) and it can be applied to deposit CaP coatings onto metallic substrates. The present work presents results on the characterization by SEM of CaP coating deposited on porous titanium samples by a biomimetic process.
APA, Harvard, Vancouver, ISO, and other styles
50

Saliy, Ya P., and L. I. Nykyruy. "Influence of surface morphology on electrophysical properties of PbTe: Sb films." Physics and Chemistry of Solid State 22, no. 3 (July 16, 2021): 415–19. http://dx.doi.org/10.15330/pcss.22.3.415-419.

Full text
Abstract:
The electrophysical properties of polycrystalline doped semiconductor thin films PbTe: Sb deposited on mica and sital (glass based ceramic) substrates are considered. The thickness dependencies of carrier mobility, of Hall coefficient and of Seebeck coefficient, and the correlations between these parameters for films deposited on different substrate materials were studied. The peculiarities of growth of thin films and their structural parameters are analyzed taking into account the features of the ‘substrate – film’ boundary section.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography