Dissertations / Theses on the topic 'Damascenone'

To see the other types of publications on this topic, follow the link: Damascenone.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Damascenone.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Skouroumounis, George Kyriakos. "[Beta]-Damascenone precursors in grapes and wines /." Title page, contents and abstract only, 1991. http://web4.library.adelaide.edu.au/theses/09PH/09phs6285.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Puglisi, Carolyn Jane, and carolyn@puglisi com au. "The Role of acetylenic and allenic precursors in the formation of beta-damascenone." Flinders University. chemistry, 2007. http://catalogue.flinders.edu.au./local/adt/public/adt-SFU20100331.220041.

Full text
Abstract:
ABSTRACT This thesis describes an investigation into the role of acetylenic and allenic precursors in the formation of the important aroma compound β-damascenone (1). Chapter 1 provides an introduction to the subject, beginning with a brief history of the Australian wine industry which began with the first fleet’s arrival in 1788. Many of the various volatile compounds found in wine are then discussed, with particular emphasis on β-damascenone (1). Some previous syntheses of 1 are summarised, as well as the in vivo generation of this compound, and also the role of glycoconjugation in nature. The chapter concludes with the aims of the present work. Chapter 2 covers the synthesis of the suspected acetylenic precursor 9-hydroxymegastigma-3,5-dien-7-yne (36), which was prepared by the addition of 3-butyn-2-ol to 2,6,6-trimethylcyclohex-2-en-1-one, followed by a conjugate dehydration reaction. The synthetic sample of 36 was shown to be identical to a compound previously observed in the hydrolysate of 3,5,9-trihydroxymegastigma-6,7-diene (31). Upon acid hydrolysis, 36 produced > 90% β-damascenone (1). Chapter 3 outlines the synthesis and hydrolysis of the C9 glycoside 43, which was prepared by a modified Koenigs-Knorr procedure on aglycone 36. Diastereomerically pure samples of each of the two possible glycosides were synthesised from corresponding enantiomerically pure samples of 36, which in turn were prepared by the use of either (R) or (S) 3-butyn-2-ol. Detailed hydrolytic studies (at 25 ºC) were conducted on both the aglycone and the two glycosides: the half lives of conversion of 36 into 1 were 40 hours and 65 hours at pH 3.0 and pH 3.2 respectively; the (9R) diastereomer of 43 had half-lives of 3 days and 6 days, respectively at the same pH values, whereas the (9S) diastereomer had half lives of 3.5 days and 6.5 days, respectively at the same pH values. The synthesis of the other suspected precursor, megastigma-4,6,7-triene-3,9-diol (35) is covered in Chapter 4. This allene was prepared by addition of 3-butyn-2-ol to phorenol, with the allene function generated by reaction with lithium aluminium hydride. By using (3S)-phorenol and both (R) and (S) 3-butyn-2-ol, four different diastereomers of 35 were prepared and characterised. The (3S, 6R, 9S)-isomer of 35 was also found to be identical to a compound previously observed in the hydrolysate of (31). A detailed hydrolytic study of the four synthetic isomers of 35 is contained within Chapter 5. This study revealed that each of the four isomers underwent rapid epimerisation at 25 ºC and pH 3.0. Careful analysis of the four product mixtures by chiral GC-MS revealed that this epimerisation was occurring exclusively at C3. The complete absence of 3-hydroxydamascone (2) from any of the hydrolysates required a re-appraisal of the mechanism of in vivo formation of β-damascenone (1), which forms the focus of the second half of this chapter. The experimental procedures (materials and methods) for all work covered in chapters 2-5 are located in Chapter 6.
APA, Harvard, Vancouver, ISO, and other styles
3

Cho, Jae-Young 1970. "Texture and microstructure in copper damascene interconnects." Thesis, McGill University, 2004. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=85141.

Full text
Abstract:
Copper has been recently used as an interconnecting material since it has high conductivity and good electromigration failure resistance. Recent studies show the close relationship between texture and reliability of Cu damascene interconnects. However, textural and microstructural evolutions of Cu damascene interconnects as function of substrate texture, current density, line width and annealing process are still not well understood.
At first, to understand the influence of substrate texture and electroplating conditions on the texture and surface morphology of Cu electrodeposits, three different polycrystalline copper specimens were used as substrates and electrodeposits were plated using different current densities. The mechanism of growth of Cu electrodeposits and the importance of smooth surface morphology were discussed.
To analyze the effect of line width and annealing process on textural and microstructural evolution of Cu damascene interconnects, Cu interconnects samples which have a different line width and different annealing process were investigated. According to x-ray diffraction (XRD) and electron backscattered diffraction (EBSD) results, the directional changes of (111) plane orientation with the different line width and annealing were observed. In addition, the analysis of microstructure and grain boundary character distribution (GBCD) of Cu damascene interconnects demonstrated that bamboo-like microstructure was developed in the narrow line and a polygranular structure was developed in the wider line. Also, the fraction of Sigma3 boundaries was changed depending on the line width and annealing process.
To analyze a relationship between the stress distribution and textural and microstructural evolution in the samples investigated, stress was calculated using finite element method (FEM), and these results were verified by physical stress simulation of copper in the Chapter 7. Through this investigation, it was found that the inhomogeneity of stress distribution in Cu damascene interconnects is an important factor which is necessary for understanding textural transformation after annealing, and the effects of stress on textural and microstructural evolution of Cu depends on the crystallographic texture and the annealing temperature.
A new interpretation of textural and microstructural evolution in Cu damascene interconnects lines after annealing and possible factors responsible for the texture transformation are suggested, and the optimum processing conditions are recommended.
APA, Harvard, Vancouver, ISO, and other styles
4

Mirpuri, Kabir. "Stress, texture and electromigration in damascene copper interconnects." Thesis, McGill University, 2005. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=100656.

Full text
Abstract:
Recently Al was replaced by Cu as an interconnecting material. The primary objective of the present research was to investigate the mechanism of texture and microstructure evolution and to study its influence on electromigration in damascene Cu interconnects. For this purpose electromigration experiments were performed on the Cu interconnects in vacuum in the SEM. The in-situ electron back-scatter diffraction (EBSD) investigation of Cu interconnect lines before and after the electromigration failure helped to identify the orientations which were associated with electromigration defect nucleation. A mechanism was proposed which shows the correlation between the texture and electromigration damage formation.
The second part of the study involved investigation of texture and microstructure in damascene Cu interconnects. The experiments were designed so as to allow an analysis of both pre and post-CMP (chemical mechanical polishing) annealing on texture and microstructure evolution not only as a function of line width but also line spacing. In order to investigate the mechanism of texture evolution in the damascene Cu interconnects in-situ EBSD study of Cu films was carried out during their thermal treatment in SEM. A similar separate experiment carried out on freestanding Cu film helped to ascertain the role of substrate in inducing the texture transformation at high temperature. Some models were proposed which establish the combined effect of dislocation activity and substrate on texture evolution. Both EBSD and X-ray diffraction (XRD) methods were used to measure the texture. Finally the residual stresses in the damascene lines were measured using XRD to evaluate their impact on the mechanical reliability of the chip interconnect system.
The EBSD and XRD studies helped to identify the mechanisms which govern the texture and microstructure evolution in Cu interconnect lines during annealing performed before and after CMP. The role of surface/interface and strain energy on formation of energy minimizing textures was established. The mechanism of texture evolution was explained explicitly as function of principal and shear stress, dislocation density, trench aspect ratio and top passivation layer.
Thus, from the electromigration studies it was possible to screen out the undesirable grain orientations. The XRD and EBSD investigations revealed the mechanism of texture and microstructure evolution in damascene lines and were used to explain the impact of various factors like stresses, dislocations, line width, line spacing, trench aspect ratio, passivation layer, substrate on texture evolution. The knowledge gained from these two studies opens a new door giving an opportunity to design the texture and microstructure in the damascene interconnect lines so as to eliminate undesirable grain orientations which are vulnerable to electromigration and mechanical failure. Since the mechanism of texture evolution is now known, one could vary the process parameters like say current density, barrier and passivation layer material and thickness, Cu seed and electroplated layer thickness, additive content in electroplating bath, annealing conditions etc. to obtain an optimum texture and microstructure which provides best reliability against electromigration and mechanical failures.
APA, Harvard, Vancouver, ISO, and other styles
5

Wilson, Christopher J. "Stress measurements in deep sub-micron damascene copper interconnects." Thesis, University of Newcastle Upon Tyne, 2009. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.514998.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Park, Tae Hong 1973. "Framework for characterization of copper interconnect in damascene CMP processes." Thesis, Massachusetts Institute of Technology, 1998. http://hdl.handle.net/1721.1/50041.

Full text
Abstract:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science; and, Thesis (B.S.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1998.
Includes bibliographical references (leaves 73-75).
by Tae Hong Park.
B.S.
M.Eng.
APA, Harvard, Vancouver, ISO, and other styles
7

Damasceno, Costa Diego Elias [Verfasser], and Artur [Akademischer Betreuer] Andrzejak. "Benchmark-driven Software Performance Optimization / Diego Elias Damasceno Costa ; Betreuer: Artur Andrzejak." Heidelberg : Universitätsbibliothek Heidelberg, 2019. http://d-nb.info/1192373170/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Metallidis, George. "The Chalcedonian Christology of St John Damascene : philosophical terminology and theological arguments." Thesis, Durham University, 2003. http://etheses.dur.ac.uk/1085/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Damasceno, Costa Diego Elias Verfasser], and Artur [Akademischer Betreuer] [Andrzejak. "Benchmark-driven Software Performance Optimization / Diego Elias Damasceno Costa ; Betreuer: Artur Andrzejak." Heidelberg : Universitätsbibliothek Heidelberg, 2019. http://nbn-resolving.de/urn:nbn:de:bsz:16-heidok-269197.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Olsen, Rasmus Bech. "Just taxes? : tracing 14th century Damascene politics through objects, space and historiography." Thesis, Birkbeck (University of London), 2017. http://bbktheses.da.ulcc.ac.uk/286/.

Full text
Abstract:
In this thesis, I explore the political culture in Damascus during the 7th/13th and 8th/14th centuries by examining the symbolic practices through which sultanic rulers and their subjects negotiated local power relations. As my point of departure, I use a protest against Mamluk tax policies that took place in 711/1311. I argue that this protest should not be understood as a spontaneous outburst of popular anger, but as a meaningful political act that reflects the wider political culture of the period and lends itself to interpretation of multiple levels. First, I demonstrate how the 711 protesters engaged in a multi-layered form of visual communication by carrying objects that referenced local identity, contemporary politics and Islamic history. I then contextualise the protest within the urban landscape of medieval Damascus. By exploring the historical development of procession routes and parade grounds in Damascus, I argue that the choice of venue that characterised this and later protests was based on a desire to appropriate spatial nodes in the topography of sultanic power. I then turn to the Umayyad Mosque as the antithesis of the ceremonial culture of the military parade ground. I argue that the protesters of 711 used visual references to the mosque in their procession, especially by placing the khaṭīb (Friday preacher) as leader of the procession, but that his participation must also be understood in the light of his wider socio-political role. Finally, I examine the narrative sources through which we access this and other political events in Mamluk Damascus. I argue that the use of these narratives as a source for political history must be accompanied by a comparison of how individual authors frame the same events and critical reflection on how representations of historical events are shaped by and shape the overarching agendas of their respective works.
APA, Harvard, Vancouver, ISO, and other styles
11

Wei, Frank L. (Frank Lili) 1977. "The electromigration drift velocity and the reliability of dual-damascene copper interconnect trees." Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/30124.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2004.
Includes bibliographical references (p. 96-98).
Cu has replaced Al as the interconnect metal of choice for high performance Si-based integrated circuits. Its electromigration behavior must be quantified and an experimental basis for a circuit-level reliability assessment is needed. Experiments on straight two-terminal via-to-via Cu dual-damascene segments with different line lengths, both with via-above and via-below geometries, have been carried out. By contrasting the failure characteristics of via-above and via-below structures, the Cu/Si3N4 interface has been identified as the site for void nucleation and the most dominant diffusion path. Consequently, an asymmetry in lifetime exists between via-above and via-below interconnect lines. It has also been found that at short line lengths, true Blech immortality occurs only for very short lines, at best, due to the ease of void nucleation. Immortality due to void growth saturation is also limited, because, in the absence of the conducting refractory-metal current-shunting overlayers characteristic of Al technology, very small voids at vias can cause failures. We find that at long lengths a sub-population of Cu lines is immortal. We propose that this is a result of non-blocking liners at the base of the vias associated with the high stresses developed at the ends of the lines. In order to quantify the fundamental Cu electromigration kinetics which precedes all failure modes, electromigration drift velocity measurements were carried out using fully processed interconnect structures. It was observed that in a significant fraction of the test population, the resistance of the lines increased steadily over time prior to failure.
(cont.) It is postulated that this gradual resistance increase results from void growth and that the rate of resistance increase correlates with the drift velocity for electromigration. Through drift measurements, we determined the activation energy for electromigration is 0.80±0.06eV. The values of the drift velocities determined in vias-below lines were similar to those measured in vias-above lines. This fact supports the proposal that the asymmetry in reliability between the two different configurations is associated with the void sizes required for failure. Reliability characterization of dotted-I and T-shaped Cu interconnect trees was also carried out. Similar to Al, we found that individual interconnect segments cannot serve as fundamental reliability units (FRU). Unlike Al, we found that Cu interconnect trees are not the FRUs either, due to possible non-blocking vias. Furthermore, due to low stress required for void nucleation in Cu- compared to Al-based interconnects, Cu reliability behavior points to the need to develop a via-based reliability assessment methodology. An atomic reservoir effect for Cu was not indicated by the investigation T-shaped trees. This leads to conservative void growth model for circuit-level reliability assessment methodology.
by Frank L. Wei.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
12

Pinheiro, Damasceno Florentino Bruno [Verfasser], and Kirsten [Akademischer Betreuer] Jung. "The translation elongation factor P in actinobacteria / Bruno Pinheiro Damasceno Florentino ; Betreuer: Kirsten Jung." München : Universitätsbibliothek der Ludwig-Maximilians-Universität, 2020. http://d-nb.info/1222436736/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Pokhilko, Alexander. "The contemplation of Christ and Salvation in the liturgical Canons of St John Damascene." Thesis, Durham University, 2004. http://etheses.dur.ac.uk/1757/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Ryan, Kevin J. "Properties of PEG, PPG and their copolymers influence on the gap-fill characteristics of damascene interconnects." Thesis, State University of New York at Albany, 2013. http://pqdtopen.proquest.com/#viewpdf?dispub=3566568.

Full text
Abstract:

A laboratory scale plating cell was built that provided reproducible bottom-up fill results for the electrochemical deposition of copper in damascene features. Several techniques used in the full wafer plating tool were incorporated into the setup to accurately control the process conditions. These techniques included but were not limited to a voltage controlled `hot-entry' step, a custom coupon holder to allow sample rotation, a secondary thief electrode and an automatic entry system. The results of qualification experiments are presented to demonstrate that precise control was realized along with repeatable partial fill plating results. The qualified setup was then used to perform time-evolved partial fill plating experiments using several different structural configurations of open-source suppressors to investigate their affect on the gap-fill characteristics.

Common open-source suppressors used for copper filling of damascene interconnects include polyethylene glycol (PEG), polypropylene glycol (PPG), or a copolymer structure of both. Differences in the configuration and structure of these suppressors generate variations in polarization strength, surface adsorption rate, and SPS displacement rate. These properties were measured by electrochemical transient analysis and coupled with the results of time-evolved partial fill plating experiments to determine the effect of electrochemical property variations on the gap-fill characteristics. The high polarization strength of PPG, along with its greater dependence on concentration was found to greatly increase the bottom-up growth rate during copper filling, while the improved resistance to accelerator displacement of PEG resulted in better sidewall protection. Both these gap-fill characteristics were evident when PEG and PPG were combined together as a mixture of separate homopolymers or in copolymer structures, although the overall influence was dependent on the size and configuration of each component. These data sets provided a more fundamental understanding of PEG, PPG and their different configurations role in the metallization of damascene interconnects. These data can also be used to infer the relative gap-fill performance to screen new suppressor candidates and reduce the quantity of plating experiments by comparison of the electrochemical properties.

APA, Harvard, Vancouver, ISO, and other styles
15

Calvo, Jesús, Johannes Koch, Xaver Thrun, Robert Seidel, and Benjamin Uhlig. "Porous Ultra Low-k Material Integration Through An Extended Dual Damascene Approach: Pre-/ Post-CMP Curing Comparison." Universitätsbibliothek Chemnitz, 2016. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-207108.

Full text
Abstract:
Integration of dielectrics with increased porosity is required to reduce the capacitance of interconnects. However, the conventional dual damascene integration approach is causing negative effects to these materials avoiding their immediate implementation. A post-CMP curing approach could solve some of these issues. However, materials with porogens being stable at temperatures of the barrier-seed deposition process are not common, hindering this approach. Here, we report on an extended dual-damascene integration approach which permits post-CMP curing.
APA, Harvard, Vancouver, ISO, and other styles
16

Adolf, James. "Modeling the Role of Plating Additives in the Metallization of Semiconductor Interconnects: From Dual Damascene to Through Silicon Vias." Case Western Reserve University School of Graduate Studies / OhioLINK, 2011. http://rave.ohiolink.edu/etdc/view?acc_num=case1305562499.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Pasquarelli, Silvio Luiz Santiago. "A presença de Luiz Damasceno Penna na Delegacia Regional de Ensino de Santos do Estado de São Paulo (1932-1957)." Universidade Católica de Santos, 2012. http://biblioteca.unisantos.br:8181/handle/tede/962.

Full text
Abstract:
Submitted by Rosina Valeria Lanzellotti Mattiussi Teixeira (rosina.teixeira@unisantos.br) on 2015-04-10T13:01:06Z No. of bitstreams: 1 Silvio Luiz Santiago Pasquarelli.pdf: 15752704 bytes, checksum: 4068ea72bc4a190650fb3644d615ff2d (MD5)
Made available in DSpace on 2015-04-10T13:01:07Z (GMT). No. of bitstreams: 1 Silvio Luiz Santiago Pasquarelli.pdf: 15752704 bytes, checksum: 4068ea72bc4a190650fb3644d615ff2d (MD5) Previous issue date: 2012-11-12
This research investigates the life and work of Luiz Damasco Penna, an educator in the State of São Paulo, Brazil, that acted for a period of over forty years in the state¿s public primary education, first as an elementary school teacher, then as principal, Regional Education Officer in the school district of Santos and District Inspector. We focused our attention in the presence of Penna as Regional Education Officer in the coast of São Paulo and all the challenges he faced in the period between 1932 and 1957, but also his pedagogical thinking introduced in the administration of more than 424 isolated schools in the region. Luiz Damasco Penna had an outstanding performance in São Paulo¿s education not only as a Regional Education Officer, but also as part of elaborating committees for the Education Code of 1933, the Education Laws of 1947, and internal commissions comprised of several Education Officers, counsellor in the Education Technical Counsel in the nineteen fifties and translator of pedagogical works of French and Spanish authors for Editora Nacional¿s collection Atualidades Pedagógicas, also as a college professor in the Chair of Business Administration in the Faculdade de Filosofia Ciências e Letras de Santos. We also investigate the universe of the Education Officers and the pedagogical thinking constructed in the administration of primary education.
Esta pesquisa trata de biografia de Luiz Damasco Penna,educador paulista, que por mais de 40 anos militou na educação primaria publica paulista, como professor, primário, diretor de grupo escolar, Delegado Regional de ensino da zona escolar de Santos e Inspetor distrital. Focamos nossas atenções para a presença do professor Penna, como delegado de ensino no litoral paulista e todos os desafios enfrentados no período de 1932 a 1957, além de seu pensamento pedagógico introduzido na administração das mais de 424 escolas isoladas do litoral paulista. Luiz Damasco Penna teve atuação marcante na educação paulista: alem de delegado de ensino, fez parte das comissões de elaboração do código de inúmeras educação de 1933, leis do ensino de 1947, comissões internas compostas de diversos delegados de ensino, conselheiro do conselho técnico de educação na década de 1950 e tradutor de obras pedagógicas de autores franceses e espanhóis da coleção Atualidades Pedagógicas da Editora Nacional, foi também professor universitário ministrando aulas na cadeira de administração escolar na Faculdade de Filosofia Ciências e Letras de Santos. Procuramos também trazer o universo dos delegados de ensino e abrir caminhos do pensamento pedagógico construído na administração da educação primaria.
APA, Harvard, Vancouver, ISO, and other styles
18

KONSTANTINIDIS, DIMITRIS. "L'image byzantine et la representation du lieu. Etude faite a partir des icones mobiles et de l'oeuvre de jean damascene." Université Marc Bloch (Strasbourg) (1971-2008), 1988. http://www.theses.fr/1988STR20033.

Full text
Abstract:
Les icones mobiles du sixieme au quinzieme siecle, ainsi que les ecrits de jean damascene, sont a la base de cette recherche. Nous constations tout d'abord que l'image byzantine ainsi que la maniere dont le lieu y est represente dependent des presupposes du systeme logique. Nous avons choisi pour ce travail d'etudier le systeme logique de damascene : plus particulierement les innovations qu'il apporte ainsi que les influences d'aristote et de porphyre. Ce systeme logique subit une importante transformation : il se reduit au minimum et les concepts de l'hypostasis et de l'enhypostasis prennent une grande importance. Ceux-ci dotes d'un sens nouveau s'adaptent aussi bien au systeme theologique que pictural. Ainsi le rapport entre le spirituel et le sensible, entre l'ame et le corps trouve son equivalence dans l'icone, dans le rapport de la figure humaine a l'edifice peint. Quant a la representation du lieu, celle ci est une illustration de la conception chretienne de la construction du cosmos. Celui-ci est caracterise par sa non-homogeneite et par son absence de lois universelles. Il est contenu et enveloppe par l'entite spirituelle. De meme dans l'icone, chaque figure est localisee selon les "lois" de sa propre nature-constituee par l'ame et le corps-et non pas selon les regles generales de l'organisation spatiale. Mais le tout baigne dans la limiere doree illustrant le verbe-lumiere, celui ci assurant l'unite et le maintien des choses. Avec damascene, l'eglise d'orient se dote pour la premiere fois d'une theorie concernant l'icone materielle et le principe de son adoration.
APA, Harvard, Vancouver, ISO, and other styles
19

Oshima, Kyosuke. "Solutions technologiques avancées pour CMOS ultime : grille métal damascene, diélectrique high-k, SOI avec film mince, alumine enterrée et plan de masse." Grenoble INPG, 2004. http://www.theses.fr/2004INPG0024.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Watson, Alexander M. "Fabrication of Micropolarizer and Narrow Band-Pass Pixel Filters for Focal Plane Array." University of Dayton / OhioLINK, 2011. http://rave.ohiolink.edu/etdc/view?acc_num=dayton1324658152.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

GALARDO, Allan Kardec Ribeiro. "A importância do Anopheles darlingi Root, 1926 e Anopheles marajoara Galvão e Damasceno, 1942 na transmissão de malária no município de Macapá/AP - Brasil." Universidade Federal do Pará, 2010. http://repositorio.ufpa.br/jspui/handle/2011/4746.

Full text
Abstract:
Submitted by Cleide Dantas (cleidedantas@ufpa.br) on 2014-02-07T14:12:35Z No. of bitstreams: 2 license_rdf: 23898 bytes, checksum: e363e809996cf46ada20da1accfcd9c7 (MD5) Tese_ImportanciaAnophelesDarlingi.pdf: 5215794 bytes, checksum: bdef0d9d3cb37a1d41a7c1f3d53e5d79 (MD5)
Approved for entry into archive by Ana Rosa Silva(arosa@ufpa.br) on 2014-02-10T15:12:47Z (GMT) No. of bitstreams: 2 license_rdf: 23898 bytes, checksum: e363e809996cf46ada20da1accfcd9c7 (MD5) Tese_ImportanciaAnophelesDarlingi.pdf: 5215794 bytes, checksum: bdef0d9d3cb37a1d41a7c1f3d53e5d79 (MD5)
Made available in DSpace on 2014-02-10T15:12:47Z (GMT). No. of bitstreams: 2 license_rdf: 23898 bytes, checksum: e363e809996cf46ada20da1accfcd9c7 (MD5) Tese_ImportanciaAnophelesDarlingi.pdf: 5215794 bytes, checksum: bdef0d9d3cb37a1d41a7c1f3d53e5d79 (MD5) Previous issue date: 2010
Projeto PIATAM Mar
A malária no município de Macapá é principalmente peri urbana, áreas estas caracterizadas por ressaca, pela presença de fragmentos de floresta e assentamentos desordenados (invasões). O objetivo deste estudo foi verificar a importância dos Anopheles darlingi e Anopheles marajoara na transmissão de malária em Macapá. O estudo foi realizado de outubro de 2007 a setembro de 2008, na comunidade de Lagoa dos Índios, Macapá. Foram coletados 4.601 mosquitos, dos quais 3.029 foram Anopheles marajoara (65,8%), 917 Anopheles darlingi (19,9%), 429 Anopheles braziliensis (9,3%), 208 Anopheles triannulatus (4,5%), 18 Anopheles peryassui (0,4%) e cinco Anopheles nuneztovari (0,1%). Apenas 32,8% dos espécimes foram coletadas no intradomicilio (1.511) e 67,2% no peridomicilio (3.090). O Indice de Picada Homem Hora do An. darlingi no intradomicilio variou entre 0 a 6,5 e no peridomicilio de 0 a 22 picadas homem hora. Já para o An. marajoara a variaçao foi de 0 a 22 no intradomicilio e de 0 a 175,5 no peridomicilio. A analise das exúvias e da dissecção de genitália resultaram na confirmaçao das duas espécies estudadas, An. darlingi e An. marajoara, e que o An. marajoara é a única espécie do complexo albitarsis circulante na área. A abundância dos vetores flutuou associada com o padrão sazonal das chuvas. An. darlingi é mais abundante no final e inicio das chuvas, enquanto o An. marajoara esteve presente em alta densidade durante todo o período de chuvas. Dos 4.601 mosquitos testados, 100 foram positivos para plasmódios humanos pelo método de ELISA, resultando em uma taxa de infecção de 2,17%. Dos 3.029 An. marajoara testados 71 (2,34%) foram positivos e dos 917 An. darlingi, 28 (3,05%). Este estudo demonstrou que as duas espécies estudadas mantêm a transmissão de malária durante todo o ano, ratificando assim a importância das mesmas.
Malaria in the municipality of Macapá is mainly peri urban, which are characterized by flooding area, presence of forest fragments and disorganized settlements. The aim of this study was to determine the importance of Anopheles darlingi e Anopheles marajoara in the malaria transmission in Macapá. The study was carried out from October 2007 to September 2008, in the Lagoa dos Índios community, Macapá. It was collected 4,601 mosquitoes, from which 3,029 were Anopheles marajoara (65,8%), 917 Anopheles darlingi (19,9%), 429 Anopheles braziliensis (9,3%), 208 Anopheles triannulatus (4,5%), 18 Anopheles peryassui (0,4%) and 5 Anopheles nuneztovari (0,1%). Only 32.8% (1.511) of the adult mosquitoes were collected indoors and 67.2% (3.090) outdoors. The index of the bites by man and by hour for An. darlingi in the intradomicile had ranged from 0 to 6.5 and in the peridomicile from 0 a 22. As for the An. marajoara the range was from 0 to 22 in the intradomicile and from 0 to 175.5 in the peridomicile. The analysis of the exuvia and the genitalia dissecation had resulted in the confirmation of the two species, An. darlingi and An. marajoara, and that the An. marajoara is the only albitarsis complex specie that is circulating in the study area. The vectors abundance had flutuated associated with the sazonal pattern of the rain. An. darlingi is the most frequent at the beginning and end of the rain, while An. marajoara was present, in high density, during the rainy season. From the 4,601 tested mosquitoes, 100 were positive for human malaria parasites by the ELISA method with a infection index of 2.17%. The infection index for An. marajoara was 2,34% (71/3,029) and for An. darlingi 3.05% (28/917). This study had demonstrated that the two studied species are responsible for the malaria transmission maintenance during the whole year, thus confirming the importance of both.
APA, Harvard, Vancouver, ISO, and other styles
22

Silva, Gabriela Correa da. "A representação do passado nos estudos históricos de Athos Damasceno : a história do Rio Grande do Sul escrita a partir da cidade (1940-1970)." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2014. http://hdl.handle.net/10183/101660.

Full text
Abstract:
Esta dissertação tem por objetivo investigar a representação do passado sul-rio-grandense desenvolvida nos estudos históricos do escritor e pesquisador porto-alegrense Athos Damasceno, entre as décadas de 1940 e 1970. Para tanto, analisa, sobretudo, alguns dos principais textos por ele publicados, os quais adotam, em geral, a cidade de Porto Alegre como objeto. A partir desta pesquisa, propõe-se que a interpretação acerca da história da região decorrente dos estudos deste autor configura-se em uma espécie de retórica da identidade regional que aponta para a existência de um Rio Grande do Sul urbanizado e modernizado. Esta retórica pode ser dividida em dois momentos, que indicam algumas diferenças entre si em relação à representação da temporalidade e da identidade. As divergências observadas na primeira (1940-1950) e segunda (1950-1970) fases relacionam-se principalmente à questão da modernização da cidade, vista inicialmente com desconfiança e, posteriormente, de uma forma mais otimista. Ademais, nota-se na narrativa do pesquisador um esforço em afirmar as semelhanças da região com a nação, a fim de reivindicar um espaço para aquela no seio desta. Damasceno aproxima a parte ao todo através do reconhecimento da relativa pluralidade de sujeitos que habitaram o passado e o presente do estado e, principalmente, pela ascendência, segundo ele, predominantemente açoriana do gaúcho. Tal vínculo seria o principal fator de ligação entre a cultura regional e nacional.
This research has the objective of analyze the sul-rio-grandense past representation developed by Athos Damasceno’s historical studies, between the period of 1940 and 1970. For that, this work analyses, especially, some of the most important texts of Damasceno, which adopt the city of Porto Alegre as object. This study suggests that in the texts of the author there is a kind of rhetoric of regional identity that shows a Rio Grande do Sul urbanized and modernized. This rhetoric can be divided in two moments. Firstly (1940-1950), the city modernization is faced with distrust. But, in a second moment (1950-1970), the same matter is seen in a more optimistic way. Furthermore, we can see in the author’s texts an effort to shows the similarities between region and nation. To prove it, his researches approach the diversity of subjects who lived in the province and, also, by the ascendancy Azorean of the gaucho. This nexus would be the main factor linking regional and national culture.
APA, Harvard, Vancouver, ISO, and other styles
23

Ferreira, Eduardo Damasceno. "Correlação canônica entre as características organizacionais e os níveis de processos no desenvolvimento do produto / Eduardo Damasceno Ferreira ; orientador, Osíris Canciglieri Junior ; co-orientador, Alfredo Iarozinski Neto." reponame:Biblioteca Digital de Teses e Dissertações da PUC_PR, 2009. http://www.biblioteca.pucpr.br/tede/tde_busca/arquivo.php?codArquivo=2724.

Full text
Abstract:
Dissertação (mestrado) - Pontifícia Universidade Católica do Paraná, Curitiba, 2009
Bibliografia: f. 150-155
O processo de desenvolvimento de produtos (PDP) é o ponto chave que muitas empresas vêm adotando para manter seu portfólio competitivo no mercado. Diante disso, as empresas vêm buscando a integração dos processos baseado em modelos de maturidade, nos q
The process of developing products (PDP) is the key point that many companies has adopted to maintain its competitive market portfolio. Considering that the companies are seeking the integration of processes based on models of maturity, which is identif
APA, Harvard, Vancouver, ISO, and other styles
24

Slaughter, Christian. "Espada de Damasco: um produto da civilização islâmica." Universidade de São Paulo, 2014. http://www.teses.usp.br/teses/disponiveis/3/3133/tde-29122014-181051/.

Full text
Abstract:
A Espada de Damasco era uma arma utilizada pelos exércitos muçulmanos medievais. Está de tal forma associada à civilização islâmica que se tornou um de seus símbolos. Esta espada se caracterizava tanto por suas ótimas propriedades mecânicas como por seus belos desenhos ondulados. Estes atributos, somados à sua mística, a fizeram objeto de imitação e cobiça no Ocidente. Entre os séc. VIII e XIII d.C. o mundo muçulmano viveu seu apogeu como civilização, compilando, traduzindo, analisando e desenvolvendo um enorme corpo de conhecimento, em diversas áreas, trazido das grandes civilizações com as quais interagiu. No campo militar, as invasões dos povos nômades da Ásia Central trouxeram inovações, sendo claramente responsáveis pela introdução do sabre. O Império muçulmano medieval reuniu, assim, as condições necessárias para o advento da Espada de Damasco, principalmente por ter absorvido de outros povos, tanto a oeste, como a leste, uma série de elementos e influências fundamentais para a concepção desta arma: seu formato, o sabre, foi trazido pelos povos turcomanos nômades, e a tecnologia do aço de cadinho, sua matéria prima principal, era proveniente do Oriente; da Índia e da Ásia Central. Este trabalho se propõe a discutir de que forma a lendária Espada de Damasco pode ser entendida como um produto único da civilização islâmica medieval. Para alcançar este propósito, duas das principais características desta arma serão discutidas, seu formato como sabre, e sua matéria prima, o aço de cadinho. Finalmente, a etapa experimental realizada nos permite avaliar sob nossa própria perspectiva as duas principais hipóteses para explicar a formação do padrão de damasco, propostas por Verhoeven e Sherby. Além disso, as tentativas de reprodução do aço de cadinho nos levam a propor a hipótese do ferro fundido, invenção sabidamente chinesa, ter sido descoberto de forma acidental ao tentar produzir aço de cadinho.
The Damascus sword was the weapon of choice of the Muslim medieval armies. It is so closely related to the Islamic civilization that it became one of its symbols. This saber was known by its great mechanical properties, as much as its pleas-ant wavy pattern. All these attributes, added to its mystical meaning, turned it into an object to be reproduced and desired by the West. Between the 9th and 13th centuries AD, the Muslim society experienced its height as civilization, compiling, translating, analyzing and developing a wide body of knowledge of many fields, imported from the main civilizations with whom it coexist-ed. On military matters, nomadic peoples from central Asia invaded the Islamic world, bringing innovations with them, such as the saber. The Muslim medieval Empire pro-vided suitable conditions to allow the Damascus sword to be created. Specially, be-cause this civilization assimilated several influences from East and West, essential to the development of this weapon: its shape as saber was taken from the nomadic Turkic peoples, the technology of the crucible steel came from the East; Central Asia and India. This thesis discusses how the legendary Damascus sword may be understood as a unique product of the medieval Islamic civilization. Two of the most important features of this weapon will be discussed in order to reach our purpose: its design as a saber, and its raw material, the crucible steel. Finally, the experimental part allow us to assay, through our own perspective, the two main hypothesis what explain the origin of the Damascus pattern, suggested by Verhoeven and Sherby. Moreover, the different attempts to reproduce the UHC crucible steel lead us to formulate a hypothesis on the origin of the cast iron, a known Chinese invention, which could have been discovered by accident while trying to produce crucible steel.
APA, Harvard, Vancouver, ISO, and other styles
25

Zantye, Parshuram B. "Processing, Reliability And Integration Issues In Chemical Mechanical Planarization." [Tampa, Fla.] : University of South Florida, 2005. http://purl.fcla.edu/fcla/etd/SFE0001263.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Rhodes, D. Bryan. "John Damascene in Context: an examination of "The heresy of the Ishmaelites" with special consideration given to the religious, political, and social contexts during the seventh and eighth century Arab conquests /." Lynchburg, Va. : Liberty University, 2009. http://digitalcommons.liberty.edu.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Park, Seongho. "Materials, Processes, and Characterization of Extended Air-gaps for the Intra-level Interconnection of Integrated Circuits." Diss., Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/22598.

Full text
Abstract:
Materials, Processes, and Characterization of Extended Air-gaps for the Intra-level Interconnection of Integrated Circuits Seongho Park 157 pages Directed by Dr. Paul A. Kohl and Dr. Sue Ann Bidstrup Allen The integration of an air-gap as an ultra low dielectric constant material in an intra-metal dielectric region of interconnect structure in integrated circuits was investigated in terms of material properties of a thermally decomposable sacrificial polymer, fabrication processes and electrical performance. Extension of the air-gap into the inter-layer dielectric region reduces the interconnect capacitance. In order to enhance the hardness of a polymer for the better process reliabilities, a conventional norbornene-based sacrificial polymer was electron-beam irradiated. Although the hardness of the polymer increased, the thermal properties degraded. A new high modulus tetracyclododecene-based sacrificial polymer was characterized and compared to the norbornene-based polymer in terms of hardness, process reliability and thermal properties. The tetracyclododecene-based polymer was harder and showed better process reliability than the norbornene-based sacrificial polymer. Using the tetracyclododecene-based sacrificial polymer, a single layer Cu/air-gap and extended Cu/air-gap structures were fabricated. The effective dielectric constant of the air-gap and extended air-gap structures were 2.42 and 2.17, respectively. This meets the requirements for the 32 nm node. Moisture uptake of the extended Cu/air-gap structure increased the effective dielectric constant. The exposure of the structure to hexamethyldisilazane vapor removed the absorbed moisture and changed the structure hydrophobic, improving the integration reliability. The integration processes of the air-gap and the extended air-gap into a dual damascene Cu metallization process has been proposed compared to state-of-the-art integration approaches.
APA, Harvard, Vancouver, ISO, and other styles
28

Maisonobe, Jean-Christophe. "Caracterisation et validation d'un polymere organique a faible permittivite, le silk, integre comme dielectrique dans les interconnexions de type damascene avec la metallisation cuivre pour les circuits de generation inferieure a 0,13 micrometres." Grenoble INPG, 2000. http://www.theses.fr/2000INPG0148.

Full text
Abstract:
Ce travail presente la caracterisation d'un polymere aromatique, le silk, comme dielectrique d'interconnexion dans les circuits microelectroniques en technologie 0,13 m, ceci avec la metallisation cuivre. L'introduction d'un materiau totalement organique dans ces structures est une innovation necessitant une etude prealable approfondie. L'introduction rappelle l'enjeu des nouvelles interconnexions dans l'amelioration et la miniaturisation des circuits et l'interet d'envisager le silk comme solution. Elle presente ensuite la problematique de l'integration dans une structure damascene et les outils de caracterisation developpes pour y repondre. Elle confirme les proprietes du silk et surtout decrit le developpement de deux techniques particulieres : l'etude de la reticulation par les spectroscopies uv et raman ainsi que l'etude des micro-defauts par les mesures de claquage electrique. Ces deux techniques interviendront dans la premiere partie de l'etude, qui concerne la mise au point et l'optimisation de la preparation du silk en couche mince. Le procede comprend un depot par etalement puis sechage, et un traitement thermique de reticulation. Puis il est verifie que les films dielectriques obtenus sont de bonne qualite thermique, mecanique et electrique. En deuxieme partie il est alors possible de valider la compatibilite des films dielectriques avec les principales contraintes d'integration. Le materiau est montre comme adapte, notamment de par sa stabilite chimique et physique. Les principaux problemes detectes proviennent de l'importance de son volume libre, qui est a l'origine de nombreux mecanismes de diffusion et d'absorption physique, et qui impose des precautions le long de son integration. En conclusion ce travail de caracterisation de materiau valide le silk comme dielectrique d'interconnexion et identifie les principaux obstacles, s'appuyant notamment sur le developpement de methodes de caracterisation specifiques.
APA, Harvard, Vancouver, ISO, and other styles
29

Schulze, Knut. "Beiträge zur Technologieentwicklung für die Erzeugung von Airgap - Strukturen in Metallisierungssystemen in integrierten Schaltkreisen." Doctoral thesis, Universitätsbibliothek Chemnitz, 2008. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-200800661.

Full text
Abstract:
Die Arbeit beschreibt die Entwicklung und Evaluierung zweier neuartiger Technologien (Maske und Spacer) zur Erzeugung von Airgap-Strukturen in Mehrebenenmetallisierungen integrierter Schaltkreise. Ausgangspunkt der Arbeit bildet die Aufarbeitung der Thematik der low-k Materialien sowie der aus der Literatur bekannten Airgap-Ansätze. Es werden die beiden entwickelten Konzepte zur Airgap-Erzeugung prinzipiell beschrieben und hinsichtlich der definierten Zielstellungen (konventionelle Prozessierung, Skalierbarkeit, selektiver Eintrag) sowie vergleichend zu alternativen Airgap-Ansätzen diskutiert. Im Fortgang werden Präparationen beider Technologien vorgestellt und deren Machbarkeit nachgewiesen. Die Erprobung und Optimierung einzelner Prozesse werden dokumentiert. Anhand der funktionsbedingten Anforderungen an Materialien und Grenzflächen werden ausgewählte Integrationsaspekte untersucht. Den Schwerpunkt bildet dabei der Einfluss von Fluorwasserstoffsäure auf elektrisch leitfähige und dielektrische Diffusionsbarrieren, Kupfer sowie deren Verbund. Es werden Möglichkeiten gezeigt, unerwünschte Wechselwirkungen zu minimieren und die Zuverlässigkeit der defektfreien Airgap-Erzeugung zu steigern. Die Arbeit beinhaltet zudem die Charakterisierung von Airgap-Strukturen entsprechend beider Ansätze hinsichtlich ihres elektrischen, thermischen und mechanischen Verhaltens für variierte Geometrien und Materialeigenschaften. Es werden FEM-Simulationen genutzt, um Messwerte zu verifizieren, Extrapolationen bei variierten Eingabedaten durchzuführen oder nicht messbare Größen zu extrahieren.
APA, Harvard, Vancouver, ISO, and other styles
30

Reitz, Daniel. "Herstellung und Eigenschaften von Oberflächenwellen-Strukturen in Cu-Damaszentechnologie." Doctoral thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2008. http://nbn-resolving.de/urn:nbn:de:bsz:14-ds-1202302892861-14469.

Full text
Abstract:
Im Mittelpunkt der vorliegenden Arbeit stehen Bauelemente, die auf der Basis von sog. akustischen Oberflächenwellen, in der Fachsprache üblicherweise mit dem Begriff SAW (surface acoustic wave) bezeichnet, arbeiten. In den vergangenen ca. 40 Jahren haben SAW-Bauelemente einen außerordentlich starken Aufschwung erlebt. Den Beginn markierte ein neuartiger Zwischenfrequenz-Filter für Fernsehgeräte am Ende der 1960er Jahre. Heute finden sich unterschiedliche Arten dieser Bauelemente in nahezu jedem Bereich unseres täglichen Lebens wieder. Als Beispiele können hier allgemein die draht-, funk- und fasergestützte Daten- und Signalübertragung und im Speziellen Mobil- und Schnurlostelefone oder Fernbedienungen genannt werden. Inzwischen sind auch neue Anwendungen in der Sensorik sowie der Identifikationstechnik hinzugekommen. Es gibt für SAW-Bauelemente eine Entwicklung hin zu höheren Arbeitsfrequenzen, steigenden Leistungen, erhöhter Zuverlässigkeit, weiterer Miniaturisierung und zunehmender Modulintegration, wobei alle Anforderungen bei gleichzeitig sinkenden Herstellungskosten realisiert werden müssen. Dabei zeichnet sich ab, dass mit den herkömmlichen Herstellungstechnologien nicht alle Bedürnisse erfüllt werden können. So ist z.B. die Lift-off-Technik, mit der ein Großteil der Bauelemente hergestellt wird, nicht auf beliebig kleine Strukturen anwendbar. Eine Alternative bildet die sog. Damaszentechnologie, die auch zur Herstellung modernster Mikroprozessoren eingesetzt wird. Dabei werden die Metallelektroden anstatt auf dem Substrat aufzuliegen, in das Substrat eingelassen, woraus sich für zukünftige SAWBauelemente Vorteile ergeben können, wie z.B. eine erhöhte Leistungsbeständigkeit, kostengünstige Abscheideverfahren, eine Reduktion der Strukturgrößen und eine planare Oberfläche. Das Ziel der vorliegenden Arbeit liegt darin, die Damaszentechnologie erstmalig auf SAW-Strukturen anzuwenden und mit den Vorteilen der Cu-Technologie zu kombinieren. Als inhaltliche Schwerpunkte wurden die Herstellung von Demonstratorbauelementen und die Bewertung der Prozessschritte, die Eigenschaftsbestimmung der Strukturen sowie deren Schädigungsverhalten bei Leistungsbelastung definiert.
APA, Harvard, Vancouver, ISO, and other styles
31

Reitz, Daniel. "Herstellung und Eigenschaften von Oberflächenwellen-Strukturen in Cu-Damaszentechnologie." Doctoral thesis, Technische Universität Dresden, 2007. https://tud.qucosa.de/id/qucosa%3A24099.

Full text
Abstract:
Im Mittelpunkt der vorliegenden Arbeit stehen Bauelemente, die auf der Basis von sog. akustischen Oberflächenwellen, in der Fachsprache üblicherweise mit dem Begriff SAW (surface acoustic wave) bezeichnet, arbeiten. In den vergangenen ca. 40 Jahren haben SAW-Bauelemente einen außerordentlich starken Aufschwung erlebt. Den Beginn markierte ein neuartiger Zwischenfrequenz-Filter für Fernsehgeräte am Ende der 1960er Jahre. Heute finden sich unterschiedliche Arten dieser Bauelemente in nahezu jedem Bereich unseres täglichen Lebens wieder. Als Beispiele können hier allgemein die draht-, funk- und fasergestützte Daten- und Signalübertragung und im Speziellen Mobil- und Schnurlostelefone oder Fernbedienungen genannt werden. Inzwischen sind auch neue Anwendungen in der Sensorik sowie der Identifikationstechnik hinzugekommen. Es gibt für SAW-Bauelemente eine Entwicklung hin zu höheren Arbeitsfrequenzen, steigenden Leistungen, erhöhter Zuverlässigkeit, weiterer Miniaturisierung und zunehmender Modulintegration, wobei alle Anforderungen bei gleichzeitig sinkenden Herstellungskosten realisiert werden müssen. Dabei zeichnet sich ab, dass mit den herkömmlichen Herstellungstechnologien nicht alle Bedürnisse erfüllt werden können. So ist z.B. die Lift-off-Technik, mit der ein Großteil der Bauelemente hergestellt wird, nicht auf beliebig kleine Strukturen anwendbar. Eine Alternative bildet die sog. Damaszentechnologie, die auch zur Herstellung modernster Mikroprozessoren eingesetzt wird. Dabei werden die Metallelektroden anstatt auf dem Substrat aufzuliegen, in das Substrat eingelassen, woraus sich für zukünftige SAWBauelemente Vorteile ergeben können, wie z.B. eine erhöhte Leistungsbeständigkeit, kostengünstige Abscheideverfahren, eine Reduktion der Strukturgrößen und eine planare Oberfläche. Das Ziel der vorliegenden Arbeit liegt darin, die Damaszentechnologie erstmalig auf SAW-Strukturen anzuwenden und mit den Vorteilen der Cu-Technologie zu kombinieren. Als inhaltliche Schwerpunkte wurden die Herstellung von Demonstratorbauelementen und die Bewertung der Prozessschritte, die Eigenschaftsbestimmung der Strukturen sowie deren Schädigungsverhalten bei Leistungsbelastung definiert.
APA, Harvard, Vancouver, ISO, and other styles
32

RICHARD, EMMANUEL. "Etude du dépôt MOCVD de TiN et de son intégration comme matériau barrière pour la métallisation du cuivre." Université Joseph Fourier (Grenoble), 1998. http://www.theses.fr/1998GRE10081.

Full text
Abstract:
Avec la reduction des dimensions caracteristiques des circuits integres, il est necessaire de diminuer les capacites entre lignes d'interconnexions ainsi que leurs resistances et d'ameliorer la fiabilite des interconnexions. L'introduction d'une metallisation cuivre permet de depasser les limitations actuelles. Cependant, l'integration du cuivre dans des structures d'interconnexions necessite l'introduction de nouveaux materiaux. Ces couches d'interface doivent verifier les proprietes suivantes : * empecher la diffusion du cuivre dans le silicium et dans les dielectrique meme pour des epaisseurs de films inferieures a 20 nm * avoir une faible resistivite (<300cm) * etre une couche d'interface assurant l'adherence du cuivre sur son substrat, permettant ainsi d'utiliser le polissage mecano-chimique lors de la fabrication des interconnexions en architecture double damascene * conformite de la couche deposee sur des motifs de facteurs de forme elevee (>4) le nitrure de titane (tin) est utilise couramment comme materiau barriere de diffusion et comme couche d'adherence dans l'industrie des semi-conducteurs. Le depot pvd de tin ne permet pas d'obtenir une bonne conformite de la couche deposee sur des motifs a facteurs de forme eleve. Le depot de tin par cvd a partir d'une chimie inorganique conduit a des couches a resistivites importantes contenant un pourcentage de chlore eleve a des temperatures inferieures a 500c. Au cours de cette etude, nous avons evalue et optimise un nouveau procede de depot cvd qui consiste a repeter de facon recurrente un depot pyrolytique du tin a partir d'un precurseur organometallique, le tetrakis (dimethylamino) titane (tdmat) et un traitement du film avec un plasma n#2/h#2. Les parametres de l'etude sont l'epaisseur initiale de la couche avant le traitement plasma (5 et 10 nm), la puissance du plasma et de la duree du traitement. Une correlation a ete realisee entre les performances en tant que couche barriere a la diffusion du cuivre et couche d'adherence, et les caracteristiques intrinseques du materiau tin.
APA, Harvard, Vancouver, ISO, and other styles
33

Waechtler, Thomas, Steffen Oswald, Nina Roth, Alexander Jakob, Heinrich Lang, Ramona Ecke, Stefan E. Schulz, et al. "Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO2." Universitätsbibliothek Chemnitz, 2009. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-200900734.

Full text
Abstract:
The thermal atomic layer deposition (ALD) of copper oxide films from the non-fluorinated yet liquid precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate, [(nBu3P)2Cu(acac)], and wet O2 on Ta, TaN, Ru and SiO2 substrates at temperatures of < 160°C is reported. Typical temperature-independent growth was observed at least up to 125°C with a growth-per-cycle of ~ 0.1 Å for the metallic substrates and an ALD window extending down to 100°C for Ru. On SiO2 and TaN the ALD window was observed between 110 and 125°C, with saturated growth shown on TaN still at 135°C. Precursor self-decomposition in a chemical vapor deposition mode led to bi-modal growth on Ta, resulting in the parallel formation of continuous films and isolated clusters. This effect was not observed on TaN up to about 130°C and neither on Ru or SiO2 for any processing temperature. The degree of nitridation of the tantalum nitride underlayers considerably influenced the film growth. With excellent adhesion of the ALD films on all substrates studied, the results are a promising basis for Cu seed layer ALD applicable to electrochemical Cu metallization in interconnects of ultralarge-scale integrated circuits. © 2009 The Electrochemical Society. All rights reserved.
Es wird die thermische Atomlagenabscheidung (ALD) von Kupferoxidschichten, ausgehend von der unfluorierten, flüssigen Vorstufenverbindung Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat, [(nBu3P)2Cu(acac)], sowie feuchtem Sauerstoff, auf Ta-, TaN-, Ru- und SiO2-Substraten bei Temperaturen < 160°C berichtet. Typisches temperaturunabhängiges Wachstum wurde zumindest bis 125°C beobachtet. Damit verbunden wurde für die metallischen Substrate ein Zyklenwachstum von ca. 0.1 Å erzielt sowie ein ALD-Fenster, das für Ru bis zu einer Temperatur von 100°C reicht. Auf SiO2 und TaN wurde das ALD-Fenster zwischen 110 und 125°C beobachtet, wobei auch bei 135°C noch gesättigtes Wachstum auf TaN gezeigt werden konnte. Die selbständige Zersetzung des Precursors ähnlich der chemischen Gasphasenabscheidung führte zu einem bimodalen Schichtwachstum auf Ta, wodurch gleichzeitig geschlossene Schichten und voneinander isolierte Cluster gebildet wurden. Dieser Effekt wurde auf TaN bis zu einer Temperatur von 130°C nicht beobachtet. Ebensowenig trat er im untersuchten Temperaturbereich auf Ru oder SiO2 auf. Der Nitrierungsgrad der TaN-Schichten beeinflusste hierbei das Schichtwachstum stark. Mit einer sehr guten Haftung der ALD-Schichten auf allen untersuchten Substratmaterialien erscheinen die Ergebnisse vielversprechend für die ALD von Kupferstartschichten, die für die elektrochemische Kupfermetallisierung in Leitbahnsystemen ultrahochintegrierter Schaltkreise anwendbar sind
APA, Harvard, Vancouver, ISO, and other styles
34

Skouroumounis, George Kyriakos. "β-Damascenone precursors in grapes and wines / by George Kyriakos Skouroumounis." Thesis, 1991. http://hdl.handle.net/2440/19475.

Full text
Abstract:
Bibliography: leaves 212-225.
vii, 228 leaves ; 30 cm.
Covers the synthesis and hydrolysis of dinorisoprenoids and their [beta]-D-glucosides as precursors of [beta]-damascenone in grape juice and wine.
Thesis (Ph.D.)--University of Adelaide, Dept. of Organic Chemistry, 1992
APA, Harvard, Vancouver, ISO, and other styles
35

Lloyd, Natoiya Dee Rayette. "Synthesis of allenic ketones and the role of yeast in their conversion to damascenone." Thesis, 2013. http://hdl.handle.net/2440/86744.

Full text
Abstract:
The thesis describes the formation of damascenone during fermentation conditions from potential ketone precursors. An introduction to the thesis and aims of the study are described in Chapter 1, the synthesis of the precursors is detailed in Chapter 2, identification of the precursors as natural products and hydrolysis studies are included in Chapter 3, fermentation studies are detailed in Chapters 4 and the damascenone stability studies are in Chapter 5. Chapter 2 describes the synthesis of megastigma-4,6,7-triene-3,9-dione (26) and 3-hydroxymegastigma-4,6,7-trien-9-one (27) from the common starting material, 4-oxoisophorone as well as the synthesis of 9-hydroxymegastigma-4,6,7-trien-3-one (28) from diketone 26. The allene 3-tert-butyldimethylsilyloxy-9-hydroxymegastigma-4,6,7-triene (29) was synthesised first and this was then used to produce ketones 26 and 27. The synthesis of 26 occurred in two steps from the silylated allene diol 29 which involved deprotection, followed by a Dess-Martin oxidation. The synthesis of ketone 27 was achieved by a Dess-Martin oxidation of the silylated allene diol 29 followed by deprotection using TBAF. The ketone 28 was synthesised by a selective reduction of 26. Chapter 3 covers the identification of the ketones 26 and 28 as natural products. It describes the hydrolysis studies performed with ketone 27 in model wine and includes a discussion as to why 27 was not seen in grape juice or honey extracts, in contrast to the analogues 26 and 28. The fermentation study involving the synthesised ketones 26, 27 and 28 is discussed in Chapter 4. The fermentation studies demonstrate that damascenone can be formed by the action of yeast during fermentation, from precursors 26, 27 and 28. The results emphasise that the presence of yeast is essential for the conversion. The concentration of damascenone at the end of fermentation was also shown to be dependent on the yeast strain. Yeast strain AWRI 796 showed to be more efficient in the formation of damascenone compared to yeast strain AWRI 1537. The final section of the thesis (Chapter 5) details the stability of damascenone during fermentation. A substantial loss of damascenone was observed during fermentation and the factors involved were further explored. The possible contributing factors included the loss of damascenone through the action of yeast metabolism, loss from reaction with components in the model or real juice and loss via evaporation of damascenone via the ferment flask during fermentation.
Thesis (Ph.D.) -- University of Adelaide, School of Agriculture, Food and Wine, 2013
APA, Harvard, Vancouver, ISO, and other styles
36

Domingues, Joana Beatriz Martins 1989. "O Teatro Rosa Damasceno em Santarém." Master's thesis, 2020. http://hdl.handle.net/11067/5938.

Full text
Abstract:
Dissertação de mestrado integrado em Arquitectura, Universidade Lusíada de Lisboa, 2020
Exame público realizado em 22 de Junho de 202
Santarém, capital do gótico em Portugal, cidade devotada aos conventos e à religião, apresenta no meio da sua arquitetura, uma inusitada construção moderna: o Teatro Rosa Damasceno. À procura da justificação desta construção, desenvolvemos um trabalho de pesquisa que nos permitisse uma interpretação do que terá levado o seu autor - arquiteto Amílcar Pinto - a realizar um projeto Moderno fora de uma grande cidade. Este trabalho acontece desde a viragem do século XIX, altura em que se fez notar uma explosão a nível da ciência, tecnologia e conhecimento com novos ditames ideológicos e socioculturais, que levaram a novos comportamentos contra o tradicionalismo. Na Europa Ocidental, sucedem-se grupos de artistas de vanguarda que se vão estruturando até chegar ao Movimento Moderno. Uma reação geral que deu expressão ao Modernismo como novo movimento. Em Portugal, com o Estado Novo surge uma nova arquitetura, iniciada com uma geração de jovens arquitetos. A Política de Espírito e o cinema sonoro levaram à transformação de antigos teatros em cineteatros por todo o País, como veio a ser o caso do Teatro Rosa Damasceno.
Santarém, Portugal's gothic capital, a city devoted to convents and religion, features in the midst of this architecture one rather unusual modern construction: Rosa Damasceno Theatre. Looking for a reason for this construction, we developed a research work that could interpret what could have led its author - the architect Amílcar Pinto - to make a Modern project out of a major city. This work takes place at the turn of the 19th century, times of noticeable explosion in science, technology, and knowledge with new ideological and socio-cultural dictates that led to new behaviors against traditionalism. In Western Europe, vanguard artists groups succeed in shaping themselves until they reached the Modern Movement, a general reaction that uttered Modernism as a new movement. In Portugal, with the "Estado Novo" political regime, a new architecture emerged as a role of the generation of young architects. The "Política de Espírito" - Politics of the Spirit - and sound film led to the transformation of theatres in cine theatres throughout the country, as it came to be the case of Rosa Damasceno Theatre.
APA, Harvard, Vancouver, ISO, and other styles
37

"Electromigration of Damascene copper for IC interconnect." Oregon Health & Science University, 2004. http://content.ohsu.edu/u?/etd,660.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Chiu, Shao-Yu, and 邱紹裕. "Investigations on Damascene Process for ULSI Interconnects." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/55011726231624919685.

Full text
Abstract:
博士
國立交通大學
材料科學與工程系所
94
In semiconductor manufacturing, as device dimensions continue shrinking into deep sub-micro regime, high packing density Cu multilevel interconnection technology has been developed due to its lower resistance and parasitic capacitance for increasing ULSI operating speed, compared to the conventional aluminum-alloy metallization. As considering the integration of Cu metallization, the damascene process has been expected to be very promising for fine Cu feature in IC backend metallization process. Therefore, in this thesis, the major investigations in the damascene process are carried out by improving the performance of the Cu electroplating deposition, the multi-steps metal CMP planarization and the following post CMP cleaning. To enhance the capability of gap-filling into high-aspect-ratio vias and trenches, it should to be optimized with additives by monitoring the filling ration Δy/Δx between ‘‘bottom-up’’ with ‘‘sidewall shift’’ from the cross section of a partially filled copper profile and achieved the superfilling performance for 0.15μm vias with aspect ratio 6 by an acid-copper electrolyte with polyethylene glycol, Cl﹣, and 2-mercaptopyridine (2-MP). The superfilling dynamics was explored with the adsorption-diffusion model, which explains the behavior of additives providing selective inhibition gradient within the damascene feature. On the other hand, we also propose an effective seeding technology, plasma immersion ion implantation of palladium (PIII Pd), to achieve defect-free gap-filling for copper electroplating (Cu-ECP). It was found that a threshold dosage of PIII Pd seed is required to drive Cu-ECP. To enhance the gap-filling capability, a higher substrate bias of PIII Pd is suggested to achieve the bottom-up phenomena of Cu-ECP and obtain the Cu (111) formation of electroplated copper films. To develop the global planarization technology for damascene process, a novel in-situ electrochemical measuring system was established for exploring Al CMP dynamical corrosion and to elucidate the polishing mechanisms. Firstly, Al CMP is carried out to investigate the influences of H2O2 concentration, slurry pH, and surface oxide formation-abrasion mechanism on Al and Ti in the H3PO4-H2O2-based chemistry. From these electrochemical results, the removal rate of polishing aluminum is limited to its passivating oxide removal by mechanical abrasion or chemical dissolution and titanium is limited to the formation rate of surface oxide. Correspondingly, the removal selectivity issue of Al CMP can be effectively controlled by means of slurry formulation. In order to better understanding the tribo-chemical schemes of Cu CMP, the characteristics of abrasive studies focus on the results from the interaction of abrasive wearing and chemical corrosion of copper thin film in formulated slurries with sub-micron sized alumina abrasives of varying phases controlled mainly by calcinations condition. Polishing with high Cu dissolution rate formulation, Cu removal rates varied linearly with the particle size, suggesting an indentation-limited model. On the contrary, polishing in certain dissolution-limited circumstances such as DI water or H2O2 alone, the characteristics of alumina abrasives, do not manifest onto the low Cu removal rate, but exhibit a threshold removal behavior at low-temperature transition phase Al2O3 followed by a dramatically saturation removal rate at nearly mono α-Al2O3. By use of the corrosion-inhibited slurry, note that a contact surface-limited mechanism was first introduced for the inverse Cu polishing behavior with mixed-phase Al2O3. Higher Cu removal rates and lower roughness were due to the both effects of the higher reactive performance by the smaller abrasives and the higher mechanical abrasion power by the larger abrasives. From our preliminary investigations, multi-step Cu damascene CMP with different copper removal rates and polishing pads is used to eliminate topography efficiently. In colloidal-silica-based slurry, the polishing behaviors of copper, tantalum and silicon dioxide are found to relate to the kind of alkaline additives. The size of cations from alkaline additives influences the zeta potential of slurries, so as to vary the material removal rate. During the 2nd step of Cu damascene CMP, the addition of small-sized K+ from KOH provides high removal selectivity of tantalum/copper and oxide/copper, so as to benefit the reduction of copper dishing. Finally, a superior post Cu CMP cleaning chemistry in neutral pH is formulated by adding ionic carboxylic acids into ammonium-based solution with inhibitors, and developed to effectively remove not only alumina but colloidal silica particles left on the polished wafer, meanwhile not to cause copper wires corrosion. In another research, we also find that the use of D-sorbitol and PVA aqueous cleaning solution with sponge PVA brush scrubbing have good performance on colloidal silica particle removal ability on post Cu CMP cleaning.
APA, Harvard, Vancouver, ISO, and other styles
39

Ling-Chieh, Lin, and 林陵杰. "Study of via first dual damascene process." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/26892255189661670810.

Full text
Abstract:
碩士
南台科技大學
電子工程系
93
Much progress has been made in the IC manufacturing. The feature size of 0.13 m, 90 nm 65 nm or below have been the main stream of the IC manufacturing. For the photolithography in the IC process, G-line, I-line, DUV (Deep-Ultra-Violet) and even EUV (Extreme-Ultra-Violet) are used as the light source. Because of the small feature size and the increase in layers, contact holes and ILD (Inter Layer Dielectric) holes make the metal connection hard. The dual damascene process is generally used to overcome this issue. Additionally, Cu process is adopted because the resistivity and electro-migration of Cu are smaller than that of Al. The Cu process replaces the Al process little by little in dual damascene process of 90 nm and become the main process in the manufacture of IC. However, Cu process suffers from the fence and void. In this paper, we propose the investigation of the void in dual damascene process and the hole and trench with large aspect ratios between width and height.
APA, Harvard, Vancouver, ISO, and other styles
40

Fang, Jeng-Yu, and 方政煜. "Abrasive - Free Polishing for ULSI Cu Damascene Interconnects." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/12453342986419986076.

Full text
Abstract:
博士
國立交通大學
材料科學與工程系所
94
In order to optimize the efficiency of ULSI IC device, copper has be developed as an alternative to aluminum as interconnects because of its high ability against electron migration and low resistance. However, in a damascene chemical mechanical polishing (CMP) process, Cu planarization suffers some damages resulting from mechanical abrasion by abrasives, such as scratching and peeling. In recent years, in order to avoid mechanical damages and achieve stress-free Cu planarization, Cu abrasive free polishing (Cu AFP) technology is developed as an alternative to CMP. In this thesis, we deeply study on the mechanism of Cu AFP technology. Owing to lack of mechanical abrasion by abrasives, Cu AFP could benefit a scratch-free Cu surface for Cu planarization. In situ, the pressure done on the polished substrate would be significantly reduced and it helps enhance the potential of porous low-k material used as dielectric layers. In this thesis, the first half part would focus on the some important issues those have significant influence on the planarization efficiency of Cu AFP technology. After Chapter 4, this thesis focuses on how to optimize pattern effect in Cu planarization with Cu AFP technology. Pattern effect, a critical issue in metal planarization, results from different pattern designs bringing a variation of effective local pressure. In order to optimize pattern effect in Cu planarization, Cu removal should be pressure independent. In this thesis, it was found that Cu abrasive free polishing (AFP) technology could benefit pressure independent Cu removal for Cu planarization by controlling down force. By pressure independent Cu removal with AFP slurries, the problem of pattern effect in Cu planarization could be significantly optimized.
APA, Harvard, Vancouver, ISO, and other styles
41

Peng, Chen-Huang, and 彭陳鍠. "Process Integration of Cu/TaSix/SiOC Damascene Structure." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/71117470870452292208.

Full text
Abstract:
碩士
國立清華大學
電子工程研究所
92
The integration of copper, diffusion barrier nano-cluster TaSix and low-k dielectric SiOC were investigated. We fabricated diffusion barrier layer, nano-cluster TaSix, low-k dielectric SiOC, develop the processes integration for Cu metallization and investigated the conduction mechanism of line-to-line leakage current for damascene structure. The dielectric constant of HDPCVD SiOC film obtained by high frequency C-V measurement was 2.77. Fourier transform infrared (FTIR) spectroscopy was performed in order to analyze the chemical structures of SiOC films. The residual stress and stress hysteresis of SiOC were measured during the thermal cycle of a temperature 300℃. The I-V characteristics of nano-cluster TaSix film were performed at various temperatures(30℃~200℃). The resistivity of the film is decreasing as the temperature rises. Auger electron spectroscopy characterizes the chemical compositions of the thin barrier film. The Cu damascene structures with diffusion barrier TaSix and low-k dielectric SiOC were fabricated. From leakage current measurements, the dominant conduction mechanism of the Cu/TaSix/SiOC damascene structure is Schottky emission. And the straight lines were fitted to the data points of Ln(J/T2) v.s. (1/T) plots. It also gave the information of the barrier height. The barrier height of TaSix/SiOC is about 0.35eV ~ 0.38eV.
APA, Harvard, Vancouver, ISO, and other styles
42

Fang, Jeng Yu, and 方政煜. "Abrasive Free Polishing for ULSI Cu Damascene Interconnect." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/73541769938010128517.

Full text
Abstract:
碩士
國立交通大學
材料科學與工程系
89
For improving the effectiveness of semiconductor device, instead of aluminum interconnection by copper material has become necessary in ULSI IC process. It is because copper has higher electron migration and lower resistance. However, although chemical mechanical polishing is the important technology to approach globe planar polished copper surface, there are still many issues existing for solving, like scratches, dishing, and uniformity. In this study, we discuss the way for approach the goal of scratch — free surface in copper damascene CMP. Generally, the abrasives applied to first step copper CMP are almost pure aluminum powders. Owing to its large size and irregular morphology, there will exist much and deep scratches on polished surface leading to damage of device. For achieving the goal of scratch free, the first way is applying abrasive free polishing instead of pure aluminum system. The planarization efficiency of abrasive free polishing is affected by the properties of polishing pad and passivation. The harder pad has less deformation effect during polish and faster remove of passivation, those will lead to clearly different removal rate between protrudent and recess areas. By the way, the required planar surface will be achieved. Therefore, in all experiments the polishing pad is used by Rodel IC — 1400. Besides, the oxidizer is fixed to be pure nitric acid aqueous, the inhibitors are selected by BTA and PEG. While abrasive free slurry formulated by nitric acid and BTA, the uniformity of polishing rates are not satisfied. By means of the observation of hydrophobic phenomenon on polished copper surface, it impacted that the surface tension existing between slurry and copper surface is too small leading to bad wettability of abrasive free slurry. For improving the wettability of abrasive free slurry, surfactant PEG is added into original polishing slurry. Besides, the second way to achieve scratch free copper surface is applied modified colloidal silica instead of pure aluminum powder. From sol — gel production, silica abrasives would have higher suspension and become circular morphology. Furthermore, the colloidal silica abrasives were coated a thin alumina layer that would retain the chemical characteristic of aluminum powders. By the modified surface, we hope to avoid the scratches produced from irregular morphology. In this partial section, the oxidizer is fixed to be hydrogen peroxide. From the analysis of electrochemical measurement, it was found that copper would be oxidized to different oxidizing state as the concentration of hydrogen peroxide increase. Unfortunately, the scratches still exist after polish. The goal of experiment is to find out the reason of scratch existance and the affection of copper oxidized behavior to planarization efficiency. Finally, we discuss the potassium iodate system with colloidal silica abrasives in order to understand the relation between selectivity and dishing. From the results of experiments, if the selectivity of tantalum to copper closes to 1, the dishing shift after second step polish would be nearly unapparent. It impacted that if the selectivity of copper, tantalum, and dielectric layer closes to 1, the control of end point could be neglected.
APA, Harvard, Vancouver, ISO, and other styles
43

Lai, Jen-Te, and 賴仁德. "Copper Damascence Process for High Speed GaAs Integrating Circuit." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/49783212145783798881.

Full text
Abstract:
碩士
國立交通大學
工學院碩士在職專班產業安全與防災學程
93
The research was focused on application of well-developed metal damascene to copper microfabrication on GaAs and InP substrates and also verification of feasibility. The fabrication began with deposition of specific dielectric material on a GaAs substrate followed by two-masked photolithography to define vias and trenches. Subsequently, we used RIE to etch patterns to different depths and then electroplated copper with CMP planarization following by SEM inspection of metal interconnects. The research had applied metal damascene to fabrication of copper interconnects in III-VA semiconductor devices.
APA, Harvard, Vancouver, ISO, and other styles
44

Gan, C. L., Carl V. Thompson, Kin Leong Pey, and Wee Kiong Choi. "Reliability of Multi-Terminal Copper Dual-Damascene Interconnect Trees." 2003. http://hdl.handle.net/1721.1/3730.

Full text
Abstract:
Electromigration tests on different Cu dual-damascene interconnect tree structures consisting of various numbers of straight via-to-via lines connected at the common middle terminal have been carried out. Like Al-based interconnects, the reliability of a segment in a Cu-based interconnect tree strongly depends on the stress conditions of connected segments. The analytic model based on a nodal analysis developed for Al trees gives a conservative estimate of the lifetime of Cu-based interconnect trees. However, there are important differences in the results obtained under similar test conditions for Al-based and Cu-based interconnect trees. These differences are attributed to the variations in the architectural schemes of the two metallization systems. The absence of a conducting electromigration-resistant overlayer in Cu technology and the low critical stress for void nucleation at the Cu/inter-level diffusion barrier (i.e. Si₃N₄) interface leads to different failure modes between Cu and Al interconnects. As a result, the most highly stressed segment in a Cu-based interconnect tree is not always the least reliable. Moreover, the possibility of liner rupture at stressed dual-damascene vias leads to significant differences in tree reliabilities in Cu compared to Al. While an interconnect tree can be treated as a fundamental unit whose reliability is independent of that of other units in Al-based interconnect architectures, interconnect trees can not be treated as fundamental units for circuit-level reliability analyses for Cu-based interconnects.
Singapore-MIT Alliance (SMA)
APA, Harvard, Vancouver, ISO, and other styles
45

Chih-Chang, Huang, and 黃志昌. "Investigation of Copper Electropolishing for Damascene Interconnects in ULSI." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/73398810131510747649.

Full text
Abstract:
碩士
國立交通大學
材料科學與工程系
90
The purpose of this thesis is to establish Cu electropolishing technology for the back-end multi-layer interconnects, including the production of copper wires by electropolishing process, the planarization of step-height for Cu electroplating layers and the pattern effects on Cu electropolishing. The main issue for the requirement of planarization and reliability is the development of the electropolishing electrolyte and the optimum of operation parameters. In tradition, electropolishing is the important technology of surface treatment. It can dissolve metallic film uniformly and produce a smooth and bright surface. In electropolishing processes, the composition of an electropolishing and operation parameters are the key points affecting the capability of the planarization. The challenge for electropolishing being a technology of globe planarization in sub-micron copper interconnects is how to develop an effective electropolishing electrolyte and proper additives. In sub-micron semiconductor interconnects, chemical-mechanical polishing (CMP) is one candidate technology for global planarization. However, mechanic stress, waste stream, scratches, and post-CMP clean are critical problems in CMP applications. Therefore, we could utilize the electropolishing technology to improve the performance for CMP of electroplated copper. For example, in the typical two-steps Cu-CMP processes, we can employ electropolishing technology as the first-step CMP to eliminate scratches produced by CMP slurry and then improve the planarization capability of CMP. In this study, citric acid was added into the electrolyte as additives in order to further improve the planarization efficiency of Cu electropolishing. With the few additives producing a diffusion gradient on the step-height surface of Cu films, those local acidities and conductivities at the recess and protrusion between inside and outside of the trenches will change. Furthermore, the capability of step-height reduction on Cu electropolishing will improve. Besides, The polyethylene glycol (PEG) was also employed to suppress the pitting resulting from the production of Oxygen bubbles at highly operation voltages on Cu electropolishing; then a smooth and bright surface of Cu films would be accomplished due to the better wetting ability of PEG. In the future, we could furthermore integrate copper electropolishing with non-barrier low-dielectric materials (non-barrier low-k materials) to replace CMP processes. Since uniformly dissolved copper film is intrinsic characteristic of electropolishing, the problems of removal selectivity between copper film and barrier film, oxide erosion, and post-CMP clean could be disappeared naturally. As we mentioned above, the throughput will become higher.
APA, Harvard, Vancouver, ISO, and other styles
46

Jian, Peng Chung Jang, and 張簡鵬崇. "Study on a Novel Damascene Process and SiLK CMP." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/85229111326558891679.

Full text
Abstract:
碩士
國立交通大學
材料科學與工程系
90
When the device dimension is shrink, the RC delay becomes the dominant performance limiting factor. In order to Improve the RC delay time, Cu is used to replace the conventional Al. Due to it has the lower resistivity ( 1.67 Ω-cm ) and high electromigration resistance. Moreover integration with low-k dielectric is a way to reduce the interconnect contribution to the parasitics. Using low-k materials as intra/interlevel-dielectric ( ILD ) have led to a significant reduction in intralevel and interlevel capacitance. In comparison to the conventional Al wiring and oxide ILD interconnect of the some dimension, about 30% conductivity, 20% intralevel and 30% interlevel capacitance improvement has been observed in the Cu/low-k interconnect. SiLK is a polymer low-k material film, the characterizations of physical and chemical are soft and inert. So in order to understand the alteration of characterizations of physical and chemical during over-polishing, we designed some experiments to research SiLK CMP. In general, the damascene process is popularly used in ULSI process. but it often results some problems. For example, as we use CMP to polish the metals over the trenches will induce copper dishing and oxide erosion. Those will decrease circuit performance. So we bring up a novel damascene process.
APA, Harvard, Vancouver, ISO, and other styles
47

Lin, Ming-Chang, and 林明昌. "Air-Gap Cu Damascene Structure by Removing Sacrificial Layer." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/42222377387032589371.

Full text
Abstract:
碩士
國立清華大學
電子工程研究所
95
Two objectives are involved in the thesis. First, the fabrication of air-gap Cu damascene structure integrated with sacrificial layer HSQ, diffusion barrier TaN, adhesion layer Ta, electrochemical plating (ECP) of copper, and chemical mechanical polish (CMP) is demonstrated. Second, the air-gap formation by HSQ removal through a dielectric cap using MEMS technique is investigated, and the resistivity of copper lines is also studied. HSQ with MIBK at ratio 2:1 was spun on etch stop layer SiNx. The HSQ film pre-baked at 350℃ for 3 minutes was a good sacrificial layer which could easily and quickly be removed from the interface with other dielectric materials by BOE solution treatment. 100�� Ta and 100�� TaN served as the adhesion and diffusion barrier layer, respectively. After trench filling by copper ECP, several CMP parameters were applied to remove unnecessary Cu/Ta/TaN without damaging the samples, and Cu damascene structure was done. Then 4000�� SiNx deposited by PECVD was used as the dielectric cap. Etching windows with 1.5x line width / 2.5μm (window width / window length) on SiNx were formed by RIE with CF4 etchant and separated with 10μm along Cu damascene lines. The air-gap Cu damascene structure will be fabricated by BOE etching process. High resolution OM and SEM revealed the structure. The resistivity of Cu lines was measured by HP 4156A with a probe station and Conductive AFM. The measurement results of the two approaches were discussed in the thesis.
APA, Harvard, Vancouver, ISO, and other styles
48

Chang, Choon Wai, C. L. Gan, Carl V. Thompson, Kin Leong Pey, Wee Kiong Choi, and N. Hwang. "Mortality Dependence of Cu Dual Damascene Interconnects on Adjacent Segments." 2003. http://hdl.handle.net/1721.1/3835.

Full text
Abstract:
Electromigration experiments have been carried out on straight interconnects that have single vias at each end, and are divided into two segments by a via in the center ("dotted-I" structures). For dotted-i structures in the second metal layer (M2) and with 25µm-long segments length, failures occurred even when the product of the current density and segment length (jL) was as low as 1250A/cm, even though via terminated 25µm-long lines are "immortal" when (jL)cr < 1500 A/cm. Moreover, we found the mortalities of the dotted-I segments to be dependent on the current density and current direction in the adjacent segment. These result suggest that there is not a definite value of jL product that defines true immortality in individual segments that are part of an interconnect tree, and that the critical value of jL for Cu dual damascene segments is dependent on the magnitude and direction of current flow in adjacent segments. Therefore, (jL)cr values determined in two-terminal via-terminated lines cannot be directly applied to interconnects with branched segments, but rather the magnitude as well as the direction of the current flow in the adjoining segments must be taken into consideration in determining the immortality of interconnect segments.
Singapore-MIT Alliance (SMA)
APA, Harvard, Vancouver, ISO, and other styles
49

Wei, F., S. P. Hau-Riege, C. L. Gan, Carl V. Thompson, J. J. Clement, H. L. Tay, B. Yu, M. K. Radhakrishnan, Kin Leong Pey, and Wee Kiong Choi. "Length Effects on the Reliability of Dual-Damascene Cu Interconnects." 2002. http://hdl.handle.net/1721.1/3977.

Full text
Abstract:
The effects of interconnect length on the reliability of dual-damascene Cu metallization have been investigated. As in Al-based interconnects, the lifetimes of Cu lines increase with decreasing length. However, unlike Al-based interconnects, no critical length exists, below which all Cu lines are ‘immortal’. Furthermore, we found multi-modal failure statistics for long lines, suggesting multiple failure mechanisms. Some long Cu interconnect segments have very large lifetimes, whereas in Al segments, lifetimes decrease continuously with increasing line length. It is postulated that the large lifetimes observed in long Cu lines result from liner rupture at the bottom of the vias, which allows continuous flow of Cu between the two bond pads. As a consequence, the average lifetimes of short lines and long lines can be higher than those of lines with intermediate lengths.
Singapore-MIT Alliance (SMA)
APA, Harvard, Vancouver, ISO, and other styles
50

Baek, Won-chong. "Reliability study on the via of dual damascene Cu interconnects." Thesis, 2006. http://hdl.handle.net/2152/2656.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography