Academic literature on the topic 'Custom Processor Design'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Custom Processor Design.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Custom Processor Design"

1

Shinghal, Kshitij, Arti Noor, Neelam Srivastava, and Raghuvir Singh. "Custom Single Purpose Processor Design: For Low Power WSN Node." International Journal of Recent Trends in Electrical & Electronics Engineering 1, no. 1 (September 1, 2011): 15–24. http://dx.doi.org/10.7323/ijrte/v1_i1/04.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Trajkovic, Jelena, Samar Abdi, Gabriela Nicolescu, and Daniel D. Gajski. "Automated Generation of Custom Processor Core from C Code." Journal of Electrical and Computer Engineering 2012 (2012): 1–26. http://dx.doi.org/10.1155/2012/862469.

Full text
Abstract:
We present a method for construction of application-specific processor cores from a given C code. Our approach consists of three phases. We start by quantifying the properties of the C code in terms of operation types, available parallelism, and other metrics. We then create an initial data path to exploit the available parallelism. We then apply designer-guided constraints to an interactive data path refinement algorithm that attempts to reduce the number of the most expensive components while meeting the constraints. Our experimental results show that our technique scales very well with the size of the C code. We demonstrate the efficiency of our technique on wide range of applications, from standard academic benchmarks to industrial size examples like the MP3 decoder. Each processor core was constructed and refined in under a minute, allowing the designer to explore several different configurations in much less time than needed for manual design. We compared our selection algorithm to the manual selection in terms of cost/performance and showed that our optimization technique achieves better cost/performance trade-off. We also synthesized our designs with programmable controller and, on average, the refined core have only 23% latency overhead, twice as many block RAMs and 36% fewer slices compared to the respective manual designs.
APA, Harvard, Vancouver, ISO, and other styles
3

Bi, Zhuo, and Yijun Dai. "Datapath Design and Full Custom Implementation of Radix-2 CORDIC Processor." Procedia Engineering 15 (2011): 3848–53. http://dx.doi.org/10.1016/j.proeng.2011.08.720.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

S, Jamuna, Dinesha P, K. PShashikala, and Kishore Kumar K. "Design and Implementation of Runtime Reconfigurable Encryption Algorithms using Custom ICAP Processor." International Journal of Computer Network and Information Security 11, no. 12 (December 8, 2019): 10–16. http://dx.doi.org/10.5815/ijcnis.2019.12.02.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Bard, K., B. Dewey, Mei-Ting Hsu, T. Mitchell, K. Moody, V. Rao, R. Rose, J. Soreff, and S. Washburn. "Transistor-Level Tools for High-End Processor Custom Circuit Design at IBM." Proceedings of the IEEE 95, no. 3 (March 2007): 530–54. http://dx.doi.org/10.1109/jproc.2006.889385.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Zou, Yong Yang, Ming Chen, and Kang Lin Wei. "Design of Custom AXI4 IP Based on AXI4 Protocol." Applied Mechanics and Materials 687-691 (November 2014): 2326–30. http://dx.doi.org/10.4028/www.scientific.net/amm.687-691.2326.

Full text
Abstract:
In addition to its own function, the realization of the custom AXI4 IP ,to a large extent,depends on the development tools.The method of custom AXI4 IP is mainly introduced in this paper.The Xilinx Vivado Design Suite is the development environment for custom AXI4 IP.The generated IP is a AXI4 slave IP which implements the data access.In order to accurately verify the AXI4 slave IP,an embedded system on a chip is created to make a processor and the generated slave IP link together.TheZynq-7000 All Programmable SoC is fully taken advantage of to prove that the AXI4 slave IP functions well.A simple application program runs in a SoC.The terminal that can display output results shows the slave IP work well and gets the desired results.
APA, Harvard, Vancouver, ISO, and other styles
7

ISSAD, M., B. BOUDRAA, M. ANANE, and N. ANANE. "SOFTWARE/HARDWARE CO-DESIGN OF MODULAR EXPONENTIATION FOR EFFICIENT RSA CRYPTOSYSTEM." Journal of Circuits, Systems and Computers 23, no. 03 (March 2014): 1450032. http://dx.doi.org/10.1142/s0218126614500327.

Full text
Abstract:
This paper presents an implementation of Rivest, Shamir and Adleman (RSA) cryptosystem based on hardware/software (HW/SW) co-design. The main operation of RSA is the modular exponentiation (ME) which is performed by repeated modular multiplications (MMs). In this work, the right-to-left (R2L) algorithm is used for the implementation of the ME as a programmable system on chip (PSoC). The processor MicroBlaze of Xilinx is used for flexibility. The R2L method is often suggested to improve the timing performance, since it is based on parallel computations of MMs. However, if the optimization of HW resources is a constraint, this method can be executed sequentially using a single modular multiplier as a custom intellectual property (IP). Consequently, the execution time of the ME becomes dependent of three factors, namely the capability of the custom IP to perform the MMs, the nonzero bit string of the exponent and the communication link between the processor and the custom IP. In order to achieve the best trade-off between area, speed and flexibility, we propose three implementations in this work. The first one is a pure software solution. The second one takes benefit of a HW accelerator dedicated to the MM execution. The last one is based on a dual strategy. Two parallel MMs are implemented within a custom IP and local memories are used close to the arithmetic units to minimize the communication link influence. The results show that in the application to RSA 1024-bits, the ME runs in 22,25 ms, while using only 1,848 slices.
APA, Harvard, Vancouver, ISO, and other styles
8

Chen, Cheng, Qian Huang, Yan Yan Yu, Wen Long Li, and Jun Yang. "Design and Implementation Based the SOPC of the 2D-FFT Processor." Applied Mechanics and Materials 513-517 (February 2014): 1030–33. http://dx.doi.org/10.4028/www.scientific.net/amm.513-517.1030.

Full text
Abstract:
This paper analyzed the principle of the two-dimensional FFT algorithm, and adopted the time domain extracted base 2D-FFT algorithm and CORDIC to achieve a one-dimensional FFT IP core in Quartus II platform, then used this IP core matrix transposition module to structure 2D-FFT core processing unit desired. In SOPC system, we adopted custom components and IP core packaging technology and adding the integration of the module. Completed the design of SOPC system, which was simulated and downloaded to the development board for verification and the test results were compared to the Matlab operation results. The simulation and test results showed that this design had a simple hardware structure, high throughput, high stability and a good prospect.
APA, Harvard, Vancouver, ISO, and other styles
9

Dramicanin, Dejan, Dejan Rakic, Slobodan Denic, and Veljko Vlahovic. "FPGA-based prototyping of IEEE 802.11a base band processor." Serbian Journal of Electrical Engineering 1, no. 3 (2004): 125–36. http://dx.doi.org/10.2298/sjee0403125d.

Full text
Abstract:
In technical literature and especially in domestic, predominant way to examine performance of 802.11a-based systems are experiments in simulations. In this paper, we present FPGA based 802.11a prototype, which gave us a possibility to gain closer insight into the problems of OFDM system implementation. A specific design of base band modem physical layer is discussed, along with the presentation of the FPGA prototyping platform on which it was developed. Prototype is implemented on the latest generation of FPGA chips, using state-of-the-art tools for DSP development. Custom made development environment, and design flow optimized for rapid prototyping of software defined radios, are also presented in the paper.
APA, Harvard, Vancouver, ISO, and other styles
10

Nishikant Sadafale, Minal Deshmukh, Prasad Khandekar,. "AN EFFICIENT FPGA OVERLAY FOR COLOR TRANSFORMATION FUNCTION USING HIGH LEVEL SYNTHESIS." INFORMATION TECHNOLOGY IN INDUSTRY 9, no. 1 (March 1, 2021): 280–87. http://dx.doi.org/10.17762/itii.v9i1.130.

Full text
Abstract:
Image Processing is a significantly desirable in commercial, industrial, and medical applications. Processor based architectures are inappropriate for real time applications as Image processing algorithms are quite intensive in terms of computations. To reduce latency and limitation in performance due to limited amount of memory and fixed clock frequency for synthesis in processor-based architecture, FPGA can be used in smart devices for implementing real time image processing applications. To increase speed of real time image processing custom overlays (Hardware Library of programmable logic circuit) can be designed to run on FPGA fabric. The IP core generated by the HLS (High Level Synthesis) can be implemented on a reconfigurable platform which allows effective utilization of channel bandwidth and storage. In this paper we have presented FPGA overlay design for color transformation function using Xilinx’s python productivity board PYNQ-Z2 to get benefit in performance over a traditional processor. Performance comparison of custom overlay on FPGA and Processor based platform shows FPGA execution yields minimum computation time.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Custom Processor Design"

1

Zuluaga, Marcela. "Efficient design-space exploration of custom instruction-set extensions." Thesis, University of Edinburgh, 2010. http://hdl.handle.net/1842/4630.

Full text
Abstract:
Customization of processors with instruction set extensions (ISEs) is a technique that improves performance through parallelization with a reasonable area overhead, in exchange for additional design effort. This thesis presents a collection of novel techniques that reduce the design effort and cost of generating ISEs by advancing automation and reconfigurability. In addition, these techniques maximize the perfomance gained as a function of the additional commited resources. Including ISEs into a processor design implies development at many levels. Most prior works on ISEs solve separate stages of the design: identification, selection, and implementation. However, the interations between these stages also hold important design trade-offs. In particular, this thesis addresses the lack of interaction between the hardware implementation stage and the two previous stages. Interaction with the implementation stage has been mostly limited to accurately measuring the area and timing requirements of the implementation of each ISE candidate as a separate hardware module. However, the need to independently generate a hardware datapath for each ISE limits the flexibility of the design and the performance gains. Hence, resource sharing is essential in order to create a customized unit with multi-function capabilities. Previously proposed resource-sharing techniques aggressively share resources amongst the ISEs, thus minimizing the area of the solution at any cost. However, it is shown that aggressively sharing resources leads to large ISE datapath latency. Thus, this thesis presents an original heuristic that can be parameterized in order to control the degree of resource sharing amongst a given set of ISEs, thereby permitting the exploration of the existing implementation trade-offs between instruction latency and area savings. In addition, this thesis introduces an innovative predictive model that is able to quickly expose the optimal trade-offs of this design space. Compared to an exhaustive exploration of the design space, the predictive model is shown to reduce by two orders of magnitude the number of executions of the resource-sharing algorithm that are required in order to find the optimal trade-offs. This thesis presents a technique that is the first one to combine the design spaces of ISE selection and resource sharing in ISE datapath synthesis, in order to offer the designer solutions that achieve maximum speedup and maximum resource utilization using the available area. Optimal trade-offs in the design space are found by guiding the selection process to favour ISE combinations that are likely to share resources with low speedup losses. Experimental results show that this combined approach unveils new trade-offs between speedup and area that are not identified by previous selection techniques; speedups of up to 238% over previous selection thecniques were obtained. Finally, multi-cycle ISEs can be pipelined in order to increase their throughput. However, it is shown that traditional ISE identification techniques do not allow this optimization due to control flow overhead. In order to obtain the benefits of overlapping loop executions, this thesis proposes to carefully insert loop control flow statements into the ISEs, thus allowing the ISE to control the iterations of the loop. The proposed ISEs broaden the scope of instruction-level parallelism and obtain higher speedups compared to traditional ISEs, primarily through pipelining, the exploitation of spatial parallelism, and reducing the overhead of control flow statements and branches. A detailed case study of a real application shows that the proposed method achieves 91% higher speedups than the state-of-the-art, with an area overhead of less than 8% in hardware implementation.
APA, Harvard, Vancouver, ISO, and other styles
2

Hauff, Martin Anthony, and marty@extendabilities com au. "Compiler Directed Codesign for FPGA-based Embedded Systems." RMIT University. Electrical and Computer Engineering, 2008. http://adt.lib.rmit.edu.au/adt/public/adt-VIT20081202.141333.

Full text
Abstract:
As embedded systems designers increasingly turn to programmable logic technologies in place of off-the-shelf microprocessors, there is a growing interest in the development of optimised custom processing cores that can be designed on a per-application basis. FPGAs blur the traditional distinction between hardware and software and offer the promise of application specific hardware acceleration. But realizing this in a general sense requires a significant departure from traditional embedded systems development flows. Whereas off-the-shelf processors have a fixed architecture, the same cannot be said of purpose-built FPGA-based processors. With this freedom comes the challenge of empirically determining the optimal boundary point between hardware and software. The fluidity of the hardware/software partition also poses an interesting challenge for compiler developers. This thesis presents a tool and methodology that addresses these codesign challenges in a new way. Described as 'compiler-directed codesign', it makes use of a suitably modified compiler to help direct the development of a custom processor core on a per-application basis. By exposing the compiler's internal representation of a compiled target program, visibility into those instructions, and hardware resources, that are most sought after by the compiler can be gained. This information is then used to inform further processor development and to determine the optimal partition between hardware and software. At each design iteration, the machine model is updated to reflect the available hardware resources, the compiler is rebuilt, and the target application is compiled once again. By including the compiler 'in-the-loop' of custom processor design, developers can accurately quantify the impact on performance caused by the addition or removal of specific hardware resources and iteratively converge on an optimal solution. Compiler Directed Codesign has advantages over existing codesign methodologies because it offers both a concrete point from which to begin the partitioning process as well as providing quantifiable and rapid feedback of the merits of different partitioning choices. When applied to an Adaptive PCM Encoder/Decoder case study, the Compiler Directed Codesign technique yielded a custom processor core that was between 36% and 73% smaller, consumed between 11% to 19% less memory, and performed up to 10X faster than comparable general-purpose FPGA-based processor cores. The conclusion of this work is that a suitably modified compiler can serve a valuable role in directing hardware/software partitioning on a per-application basis.
APA, Harvard, Vancouver, ISO, and other styles
3

Garcia, Lorca Federico. "Filtres récursifs temps réel pour la détection de contours : optimisations algorithmiques et architecturales." Paris 11, 1996. http://www.theses.fr/1996PA112439.

Full text
Abstract:
Dans cette thèse on s'intéresse à deux aspects différents : conceptuel et réalisationel, sur lesquels portent les quatre innovations présentées. Si celles-ci sont illustrées par une application au détecteur de contours de Deriche, elles sont facilement généralisables à d'autres détecteurs qu'ils soient basés sur le calcul de maxima locaux de la dérivée première, ou le calcul des passages par zéro du laplacien. Les filtres à réponse impulsionnelle infinie symétriques ou anti-symétriques peuvent être réalisés sous forme cascade. Le filtre de lissage peut être défini par intégration numérique du filtre dérivateur optimal. Tout filtre détecteur de contours à noyau large peut être considéré comme un filtre de lissage bidimensionnel à noyau large suivi d'un simple filtre Sobel. L’utilisation d'opérateurs blocs série offre le meilleur compromis surface rapidité pour l'intégration en ASICS ou FPGAS. Nous proposons une architecture câblée temps réel optimale en compacité et simplicité de la version récursive du filtre détecteur de contours de Deriche. Nous exposons la méthode qui conduit à notre solution. A travers cette expérience, nous souhaitons transmettre aux concepteurs d'outils de CAO un certain nombre d'idées qui doivent à notre avis être exploitées afin que des outils tels que les graphes flots de données ou les langages synchrones assistent efficacement l'architecte dans les problèmes d'ordonnancement, d'allocation et de repliement temporel du graphe vers l'architecture.
APA, Harvard, Vancouver, ISO, and other styles
4

Saurus, Chauncey Anderson. "Co-design processes in industrial design education." Thesis, Georgia Institute of Technology, 2012. http://hdl.handle.net/1853/44743.

Full text
Abstract:
Co-design is a process that allows designers to develop products with greater insight to user needs through the participation of users in the design process. During this process what users say, make, and do is investigated using common research methods in combination with newer generative and exploratory approaches created for this purpose. Co-design encompasses many design practices. Despite the prevalence of the co-design process, a lack of studies into the education of designers on co-design have been implemented, leaving a gap of information that needs to be filled in order for co-design to become integrated into design education and practice. The purpose of this project is to understand the current state of co-design education in the U.S. and to assimilate popular teaching techniques, by surveying teaching methods of co-design within Industrial Design programs at U.S. Universities with reputations as leaders in the field. This project also aims to design a learning aid for Industrial Design students derived from the findings of interviews, materials review, and literature. A snowball sampling was performed with schools leaders in co-design. Schools were contacted and given a survey, interviewed with selected participants and assessed on their materials and practices on co-design. Various qualitative data analysis was performed with the surveys, interviews and materials. The conclusion includes a composite of common methods for teaching co-design, which are assembled into a learning aid artifact. The artifact incorporates findings into a practical outcome. The significance of this project is to further research into teaching methods of co-design as well as providing a common framework for design educators to follow in higher level learning institutions.
APA, Harvard, Vancouver, ISO, and other styles
5

Castro, Mariana Dourado. "Aspectos da negociação entre designer e usuário em ateliês de moda : os mecanismos utilizados pelos designers em momentos de conflitos no processo de projeto." Universidade do Vale do Rio dos Sinos, 2017. http://www.repositorio.jesuita.org.br/handle/UNISINOS/6454.

Full text
Abstract:
Submitted by JOSIANE SANTOS DE OLIVEIRA (josianeso) on 2017-08-01T13:55:12Z No. of bitstreams: 1 Mariana Dourado Castro_.pdf: 3163818 bytes, checksum: 2ff0f1fa27452a774199fd07ab71e354 (MD5)
Made available in DSpace on 2017-08-01T13:55:13Z (GMT). No. of bitstreams: 1 Mariana Dourado Castro_.pdf: 3163818 bytes, checksum: 2ff0f1fa27452a774199fd07ab71e354 (MD5) Previous issue date: 2017-03-14
Nenhuma
Esta dissertação apresenta uma pesquisa a cerca da negociação no design de moda sobmedida, tendo como objetivo analisar os mecanismos usados pelos designers em momentos de conflitos durante o processo de projeto que envolve a participação do cliente. Para tanto é apresentado referencial teórico em dois capítulos. Onde inicialmente, são discorridos os conceitos de design, aspectos referentes aos processos e modelos de projetos de design, para por fim descrever o processo característico dos projetos de moda sobmedida. Segue-se a abordagem conceitos relativos a conflito e negociação, importância da negociação e meios para a solução de conflitos. A investigação em caráter exploratório com abordagem qualitativa foi realizada por meio a entrevistas semiestruturadas, buscando a visão dos designers, que foram submetidas à Analise de Conteúdo. Após apresentação dos resultados obtidos, são dispostas as discussões ampliando os conhecimentos sobre a compreensão de como designers de moda agem ao enfrentar momentos de conflito junto a seus clientes em projetos exclusivos. A pesquisa contribuiu para a discussão do tema, bem como foi esclarecedora em relação à prática de projeto de moda envolvendo os clientes.
This dissertation presents a research about the negotiation in custom-made fashion design, having as obtectives to analyse the mechanisms used by designers to solve conflicts during the design prosses involving the participation of the client. For this purpose, the theoretical reference is presented in two chapters, where it is discussed the concepts of design, aspects related to processes of the designers and tools for conflict resolution. It was carried out the exploratory research with qualitative approach through semi-structured in-depth interviews, seeking the view of designers who were submitted to the Content Analysis. After the presentation of the results obtained, it is presented the discussions, increasing the knowledge on the understanding of how fashion designers act when facing moments of conflict with their clients in exclusive designs . The research not only contributed for the discussion of the theme but was also illustrative as to the practice of fashion design involving clients.
APA, Harvard, Vancouver, ISO, and other styles
6

Hodgkinson, Peter. "Integrating customer requirements in the design of service processes in South African motor dealership." Thesis, Port Elizabeth Technikon, 2000. http://hdl.handle.net/10948/30.

Full text
Abstract:
The Motor Industry is set in a highly competitive and dynamic global arena constantly shaped by both external and internal forces. From an organisations point of view many of the external or macro forces are largely uncontrollable. Against this backdrop, players in the South African Motor Industry need to find new methods of differentiating themselves from competitors – One manner of performing this strategy is for Motor Dealerships to understand, meet or exceed customer requirements. In this research, the literature survey revealed the concepts of Total Quality Management (TQM) and fail-safing, the meaning of superior customer service and the importance of customer loyalty and retention. Customer service excellence levels of three Williams Hunt dealerships in the Port Elizabeth – Uitenhage metropole were measured by utilizing the SERVQUAL instrument for calibrating service quality. Customer expectations and perceptions were determined by means of the empirical study which yielded a service quality “gap.” From analysis of this gap and related findings, suggested improvements were suggested as a guideline for dealerships to utilise with the aim of improving customer service levels.
APA, Harvard, Vancouver, ISO, and other styles
7

Rodrigues, Raphael de Oliveira. "Aplicação de design for testability na elaboração de requisitos de testes de produção no desenvolvimento de sistemas aeronáuticos." Instituto Tecnológico de Aeronáutica, 2011. http://www.bd.bibl.ita.br/tde_busca/arquivo.php?codArquivo=2797.

Full text
Abstract:
Esta dissertação tem como objetivo estruturar a aplicação do Design for Testability (DFT) - procedimento que durante a fase de desenvolvimento de um novo produto incorpora regras e técnicas para tornar a execução de testes mais eficiente - no processo de elaboração de requisitos de testes de produção durante o desenvolvimento de sistemas aeronáuticos. A partir dessa aplicação, pretende-se obter a redução do ciclo de testes de produção em série de uma aeronave e a redução dos custos relativos a estes testes, além de realizar uma análise e otimização do processo atual. Para isso, foi necessária a análise do estado atual do processo e proposta de uma nova metodologia a fim de prover, além dos conceitos de DFT, robustez e padronização ao processo, garantindo assim o melhor aproveitamento dos recursos, a eliminação de desperdícios e a diminuição dos custos no processo produtivo. A aplicação do processo proposto foi simulada em parte do desenvolvimento de sistemas aeronáuticos em uma situação real, sendo evidenciados os ganhos obtidos a partir de sua aplicação, contribuindo com a redução de aproximadamente 33% do ciclo total de testes de produção durante a etapa de Montagem Final da aeronave.
APA, Harvard, Vancouver, ISO, and other styles
8

Yokota, Alessandra Akemi 1988. "Aplicação do custeio-meta no processo de projeto em habitação de interesse social." [s.n.], 2015. http://repositorio.unicamp.br/jspui/handle/REPOSIP/258730.

Full text
Abstract:
Orientador: Ariovaldo Denis Granja
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Civil, Arquitetura e Urbanismo
Made available in DSpace on 2018-08-27T20:19:50Z (GMT). No. of bitstreams: 1 Yokota_AlessandraAkemi_M.pdf: 5943613 bytes, checksum: 29b2af76a45e062a4fc32ae5d1fe42c1 (MD5) Previous issue date: 2015
Resumo: Na abordagem do Custeio-Meta (CM), o custo é um parâmetro de entrada que norteia o processo de projeto, salvaguardando-se os requisitos de qualidade e o desempenho de suas funções na percepção do cliente ou usuário final para o alcance de um produto competitivo e inovador. A pesquisa tem como objetivo aplicar o CM no processo de projeto de uma unidade de Habitação de Interesse Social (HIS) em tecnologia Wood Frame, levando em consideração o contexto do Programa Governamental "Minha Casa, Minha Vida". Fundamentada no método da Design Science ou Pesquisa Construtiva, a pesquisa visa aplicar o CM neste processo, para posteriormente identificar as possíveis contribuições teóricas a partir dos resultados obtidos. A pesquisa utiliza técnicas e ferramentas características do CM para a estimativa de custo e tomada de decisão com foco no valor a partir da percepção do usuário final. Também busca identificar as dificuldades e oportunidades para a aplicação do CM neste contexto. Os dados utilizados como parâmetro de custo da Unidade Habitacional foram cedidos por uma empresa especializada na tecnologia Wood Frame. O processo de projeto foi desenvolvido por um grupo de pesquisadores, envolvendo docentes e discentes de diversas especialidades nas áreas da Arquitetura e Engenharia Civil. Como principal resultado, ao final da pesquisa, será gerado como artefato uma instância atual da aplicação do CM para o contexto proposto, visando à melhoria no atendimento das necessidades e requisitos para HIS do ponto de vista do usuário final, não se perdendo de vista os necessários controles de custo do produto. A orientação prescritiva desta pesquisa oferecerá novidade em relação aos trabalhos já disponíveis, à medida que apresentará resultados provenientes da aplicação prática do CM no contexto analisado e novas contribuições teóricas decorrentes da análise deste processo
Abstract: In the Target Costing (TC) approach, cost is regarded as an initial input to guide the Design Process considering cost, quality requirements and product performance from the end-users and client¿s perspective in order to improve the product through competitiveness and innovation. The main purpose of this study is to apply Target Costing during the design process for low-incoming houses in Brazil by using Wood Frame system. In the Brazilian context, the current low-incoming houses are supported by the Governmental Program called "My House, My Life" (MHML). In this research, the MHML Program will be discussed to deliver Wood Frame houses under the TC approach. Based on the Design Research Approach or Constructive Research, the study seeks to apply the TC to further identify theoretical contributions from the results obtained from its application. Supporting techniques and tools from Value Methodology were properly used to deliver the TC application assisting the cost estimation and decision making. It also aims to identify the difficulties and opportunities for the TC application in this context. The cost data was provided by a Brazilian company specialized in Wood Frame to estimate the initial cost of the product. The design process was developed by a research team including architects, civil engineers, contractors and suppliers. As outcome, the proposed application presents an instantiation for the TC application. Furthermore, the results indicates some directions for possible improvements from the end-users¿ wants and needs in order to fulfil such requirements due to cost controlling. The prescriptive study offers novelty for the TC studies in the construction sector and identifies some gaps related to the Target Costing in Social Housing with the aim to accomplish future studies
Mestrado
Arquitetura e Construção
Mestra em Engenharia Civil
APA, Harvard, Vancouver, ISO, and other styles
9

Ylitalo, Frida. "Development of digital sales processes with help of the See-Think-Do-Care model." Thesis, Umeå universitet, Institutionen för tillämpad fysik och elektronik, 2021. http://urn.kb.se/resolve?urn=urn:nbn:se:umu:diva-185555.

Full text
Abstract:
Digital commerce is a natural part of our everyday life. To fast and easily be able to make purchases from our home without stress has become a matter of course for us. But the new way to make purchases places new demands on the sales, not least at the important customer meeting. The interaction between customer and seller disappears completely and known marketing methods must be adapted to the new conditions. How does the customer journey change when the step from discovering a product to buying it is just a few clicks away? The study aims to investigate and develop a digital sales process for a mobile game aimed for children. The process is based on the marketing framework See-Think-Do-Care and tries to answer questions like which components are needed in a sales flow? How can a product be adapted to different types of users and can UX design be used to get interested customers to buy the product? The method is divided into two different sections. One section for evaluating the chosen marketing framework and another for the development of the sales process. The development of the sales process was made stepwise by prototypes in different degrees of fidelity. The first part of the result ended up in the implementation of the marketing framework, a developed customer journey, and a compilation of ten guidelines to adhere to for increasing the conversion of new customers. The sales flow was then developed step by step from only showing the routing to be a clickable solution similar to the intended end product. The di↵erent prototypes were evaluated by user testing and it was shown that the largest problem was not to make users understand the sales flow, it was to make them understand the actual product. The hope is that the result of the study will be able to be tested in production and be used in the real sales of the product.
APA, Harvard, Vancouver, ISO, and other styles
10

Ravichandran, Balachandar, and Harshavardhan Ramanujam. "Implementing Design Thinking principles for increasing customer centricity in a B2B company : A case study at Mycronic." Thesis, KTH, Produktinnovationsteknik, 2020. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-281244.

Full text
Abstract:
Design Thinking (designtänkande) är ett kundfokuserat förhållningssätt som används för att stödja innovation. Sedan starten har designtänkandet utvecklats från ett rättframt sätt att lösa tekniska designproblem till en komplex paraplykonstruktion för innovation och förhållningssättet har genom åren blivit ett allmänt accepterat och målinriktat tillvägagångssätt för effektiv produktutveckling. Flera av de praktiska användningsfallen som finns tillgängliga om designtänkande i forskning hänvisar till ett enskilt fall för att lösa specifika problem eller dess tillämpning i business-tocustomer företag. Syftet med detta examensarbete var att kritiskt undersöka hur designtänkande kunde implementeras i ett business-to-business (B2B)-företag med välutvecklade produktutvecklingsprocesser för att balansera kundfokus med produkt strategi. För att förstå effekterna av designtänkande i sådana företag undersöktes hinder som förhindrar designtänkandets implementering och möjligheterna med att införa det med hjälp av en fallstudie på Mycronic AB-kontoret i Täby, Sverige. Materialet i studien samlades in genom interna och externa kvalitativa intervjuer. Resultaten från intervjuerna användes för att föreslå ett ramverk och ett pilotprogram för att stödja Mycronic att införa principer för designtänkande i sin befintliga PDP. Målet med ramverket är att skapa förutsättningar för ett B2B-företag att anpassa sin produktutvecklingsprocess till designprinciper för att bättre förstå slutkundernas explicita och outtalade behov och behoven hos interna intressenter samt för att öka förmågan att identifiera rätt initiativ i ett tidigt skede av ett projekt.
Design Thinking (DT) is a customer centric approach for managing innovation. Since its inception, design thinking has evolved from a straight forward approach to solve engineering design problems into a complex umbrella construct for innovation and has over the years been widely accepted as a goal-oriented approach for effective product development. Several of the practical use cases available in the existing design thinking discourse refer to oneoff case for solving specific problems or its application in a business-to-customer set-up. Thepurpose of this master thesis was to critically examine how design thinking could be implementedin a business to business (B2B) company with well-developed product development processes(PDP) to balance customer centricity with product strategy. To understand the impact of design thinking in such companies, barriers preventing design thinking's implementation and the opportunities enabling its implementation were explored using a single case study approach at Mycronic AB office at Täby, Sweden. The material for the case study was gathered through internal and external qualitative interviews. The results from these interviews were used to propose a framework and pilot programs that would facilitate Mycronic to introduce design thinking principles to its existing product development process. The goal of the framework is to empowera business-to-business company with well-developed product development processes to adapt design thinking principles so as to increase their understanding of end customers' spoken and unspoken needs, recognize the needs of internal stakeholders, and improve their ability to secure the right initiatives in the early phase of a project.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Custom Processor Design"

1

Weber, Julian. Automotive Development Processes: Processes for Successful Customer Oriented Vehicle Development. Berlin, Heidelberg: Springer-Verlag Berlin Heidelberg, 2009.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ramaswamy, Rohit. Design and management of service processes. Reading, Mass: Addison-Wesley Pub. Co., 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

1967-, Landay James A., and Hong Jason I. 1975-, eds. The design of sites: Patterns, principles, and processes for crafting a customer-centered Web experience. Boston: Addison-Wesley, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Duyne, Douglas K. Van. The design of sites: Patterns, principles, and processes for crafting a customer-centered web experience. Boston MA: Addison-Wesley, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Kovalenko, Vladimir. Design of information systems. ru: INFRA-M Academic Publishing LLC., 2020. http://dx.doi.org/10.12737/987869.

Full text
Abstract:
The tutorial discusses the design features of information systems (is) involved in the implementation of CALS technologies: MRP/MRPII/ERP systems, e-Commerce systems (B2B), supply chain management (SCM), customer relationship management (CRM), and decision support systems (OLAP). The issues of choosing the design technology, software tools for project development, building functional and information models in the environment of Business Studio, MS Visio, Elma, AllFusion Modeling Suite and Oracle Designer 10g, as well as the development of technical and operational documentation are highlighted. The characteristics of CASE technologies and their implementation in the Oracle Designer 10g environment are considered. A comparative analysis of the standards of the organization of the life cycle of creating and using IP, practical recommendations for the development of standard profiles, examples of the development of an IP project based on a cascading model of the life cycle, including using a process approach in the management and automation of processes. The models of the client — server architecture and the structure of cloud computing are considered. Modern approaches to the selection of ready-made is and their implementation in automated enterprises are studied in detail. Meets the requirements of the Federal state educational standards of higher education of the latest generation. It is intended for students (bachelors and specialists) and masters of higher educational institutions studying in the direction of "Applied Informatics". It is also recommended for teachers and specialists working in the field of information technology.
APA, Harvard, Vancouver, ISO, and other styles
6

Design and management of service processes: Keeping customers for life. Reading, MA: Addison-Wesley, 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Farina, Annick, and Fernando Funari, eds. Il passato nel presente: la lingua dei beni culturali. Florence: Firenze University Press, 2020. http://dx.doi.org/10.36253/978-88-5518-250-8.

Full text
Abstract:
As a sign, tangible heritage is the most visible trace of the past in our daily life. In constant dialectic with the intangible heritage, it constitutes a physical presence that forces us to take concrete awareness. Knowledge of texts and stories, which for Vitruvius is the high road for the design and construction of a building, is also fundamental in the processes of deconstruction, according to the various stages and different perceptions, through ages and customs, up to retrace the process that transformed it into 'heritage'. The lexicon and the terminology of cultural heritage are in this sense the most important vector of knowledge, enhancement and dissemination. The volume seeks to bring together those who study the language of heritage, from different countries and through different methodologies, and those involved in its management, in order to offer points of view and ideas on the narration and perception of tangible and intangible heritage, in mediation across eras, cultures and identities.
APA, Harvard, Vancouver, ISO, and other styles
8

Weber, Julian. Automotive Development Processes: Processes for Successful Customer Oriented Vehicle Development. Springer, 2014.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

Manufacturing Architecture: An Architect's Guide to Custom Processes, Materials, and Applications. King Publishing, Laurence, 2018.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Duyne, Douglas K. van, James A. Landay, and Jason I. Hong. The Design of Sites: Patterns, Principles, and Processes for Crafting a Customer-Centered Web Experience. Addison-Wesley Professional, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Custom Processor Design"

1

Woods, Roger, Gayle Lightbody, Jonathan Spanier, and Gareth Keane. "Low-Power Custom Regular Processor Synthesis Flow." In Unified low-power design flow for data-dominated multi-media and telecom applications, 97–134. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/978-1-4757-3182-8_5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lee, Ming-Hau, Hartej Singh, Guangming Lu, Nader Bagherzadeh, Fadi J. Kurdahi, Eliseu M. C. Filho, and Vladimir Castro Alves. "Design and Implementation of the MorphoSys Reconfigurable Computing Processor." In Field-Programmable Custom Computing Technology: Architectures, Tools, and Applications, 21–38. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/978-1-4615-4417-3_3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Helguero, Carlos G., Jorge L. Amaya, Fausto Maldonado, Jocelyne A. Acosta, Maria Bravo, and Junior Garzón. "Design of Custom Breast Prosthesis for Additive Manufacturing Production." In Advances in Manufacturing, Production Management and Process Control, 74–81. Cham: Springer International Publishing, 2021. http://dx.doi.org/10.1007/978-3-030-80462-6_10.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Watkins, Harry S. "Developing customer-focused new product concepts." In Integrated Product, Process and Enterprise Design, 21–44. Boston, MA: Springer US, 1997. http://dx.doi.org/10.1007/978-1-4615-6383-9_2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Sharat, Kavya, Sumeet Bandishte, Kuruvilla Varghese, and Amrutur Bharadwaj. "A Custom Designed RISC-V ISA Compatible Processor for SoC." In Communications in Computer and Information Science, 570–77. Singapore: Springer Singapore, 2017. http://dx.doi.org/10.1007/978-981-10-7470-7_55.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Hewing, Michael. "A Blueprint of the Customer – Design of a Method for an extended View on Customer Processes in BPM." In Business Process Blueprinting, 73–114. Wiesbaden: Springer Fachmedien Wiesbaden, 2013. http://dx.doi.org/10.1007/978-3-658-03729-1_4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Däuble, Gerald, Inga Schlömer, Boris Böttcher, and Markus Nüttgens. "Supporting Technical Customer Service Processes: A Design-Centered Approach." In Advancing the Impact of Design Science: Moving from Theory to Practice, 408–12. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-06701-8_35.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Jeannet, Jean-Pierre, Thierry Volery, Heiko Bergmann, and Cornelia Amstutz. "Production Processes Choices." In Masterpieces of Swiss Entrepreneurship, 165–70. Cham: Springer International Publishing, 2021. http://dx.doi.org/10.1007/978-3-030-65287-6_15.

Full text
Abstract:
AbstractHow SMEs arranged their factory floor and the kind of processes, proprietary, or other, they employed, including the extent of automation in use, are all important aspects of the process practices. Swiss SMEs have realized that reliance on product feature advantages alone, even if protected by patents, is not sufficient to guarantee a lasting competitive advantage. Many companies, over time, have developed proprietary processes that are not available on the open market. This can include proprietary production or custom equipment developed and built in-house for key steps of the production process. Automation and robotization are extensively applied throughout, much of this designed by the companies themselves. The longevity of the companies fosters long-term improvements that are not available on the free market.
APA, Harvard, Vancouver, ISO, and other styles
9

Daneluzzo, Mirko, and Michele Daneluzzo. "Reinventing Staircases for Thermoplastic Additive Manufacturing." In Proceedings of the 2021 DigitalFUTURES, 349–58. Singapore: Springer Singapore, 2021. http://dx.doi.org/10.1007/978-981-16-5983-6_32.

Full text
Abstract:
AbstractThe paper presents an ongoing project focusing on the application of additive manufacturing technologies for the design of staircases. Additive digital fabrication allows architects to reinvestigate materials, processes, and creates new design opportunities to explore novel aesthetical and functional expression in architecture, enabling a reinterpretation of the typology of the staircase, using thermoplastic materials. This paper reviews the opportunities and challenges of using 3D printing for fabricating custom stairs with complex geometries in two studied configurations.
APA, Harvard, Vancouver, ISO, and other styles
10

Kettunen, Petri, Mikko Ämmälä, Tanja Sauvola, Susanna Teppola, Jari Partanen, and Simo Rontti. "Towards Continuous Customer Satisfaction and Experience Management: A Measurement Framework Design Case in Wireless B2B Industry." In Product-Focused Software Process Improvement, 598–608. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-49094-6_45.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Custom Processor Design"

1

Takahashi, O., E. Behnen, S. R. Cottier, P. Coulman S. H. Dhong, B. Flachs, P. Hofstee, C. J. Johnson, and S. Posluszny. "Cell Broadband Engine Processor Design Methodology." In 2007 IEEE Custom Integrated Circuits Conference. IEEE, 2007. http://dx.doi.org/10.1109/cicc.2007.4405830.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Zyuban, Victor, Sameh W. Asaad, Thomas W. Fox, Anne-Marie Haen, Daniel Littrell, and Jaime H. Moreno. "Design methodology for semi custom processor cores." In Proceedins of the 14th ACM Great Lakes symposium. New York, New York, USA: ACM Press, 2004. http://dx.doi.org/10.1145/988952.989060.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Fei Sun, S. Ravi, A. Raghunathan, and N. K. Jha. "Hybrid custom instruction and co-processor synthesis methodology for extensible processors." In 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06). IEEE, 2006. http://dx.doi.org/10.1109/vlsid.2006.100.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Shah, Nimish, Laura I. Galindez Olascoaga, Wannes Meert, and Marian Verhelst. "Acceleration of probabilistic reasoning through custom processor architecture." In 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE, 2020. http://dx.doi.org/10.23919/date48585.2020.9116326.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Ivosevic, Danko, and Vlado Sruk. "Unified flow of custom processor design and FPGA implementation." In IEEE EUROCON 2013. IEEE, 2013. http://dx.doi.org/10.1109/eurocon.2013.6625209.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Ivosevic, Danko, and Nikolina Frid. "Performance-Occupation trade-off examination in custom processor design." In 2014 37th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO). IEEE, 2014. http://dx.doi.org/10.1109/mipro.2014.6859719.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Chippa, Vinay K., Hrishikesh Jayakumar, Debabrata Mohapatra, Kaushik Roy, and Anand Raghunathan. "Energy-efficient recognition and mining processor using scalable effort design." In 2013 IEEE Custom Integrated Circuits Conference - CICC 2013. IEEE, 2013. http://dx.doi.org/10.1109/cicc.2013.6658433.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Noori, Hamid, Farhad Mehdipour, Kazuaki Murakami, Koji Inoue, and Maziar Goudarzi. "Generating and Executing Multi-Exit Custom Instructions for an Adaptive Extensible Processor." In Design, Automation & Test in Europe Conference. IEEE, 2007. http://dx.doi.org/10.1109/date.2007.364612.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Smets, Sander, Toon Goedeme, and Marian Verhelst. "Custom processor design for efficient, yet flexible Lucas-Kanade optical flow." In 2016 Conference on Design and Architectures for Signal and Image Processing (DASIP). IEEE, 2016. http://dx.doi.org/10.1109/dasip.2016.7853810.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Gorjiara, B., and D. Gajski. "Custom processor design using NISC: a case-study on DCT algorithm." In 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005. IEEE, 2005. http://dx.doi.org/10.1109/estmed.2005.1518072.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Custom Processor Design"

1

Vavrin, John L., Ghassan K. Al-Chaar, Eric L. Kreiger, Michael P. Case, Brandy N. Diggs, Richard J. Liesen, Justine Yu, et al. Automated Construction of Expeditionary Structures (ACES) : Energy Modeling. Engineer Research and Development Center (U.S.), February 2021. http://dx.doi.org/10.21079/11681/39641.

Full text
Abstract:
The need to conduct complex operations over time results in U.S. forces remaining in deployed locations for long periods. In such cases, more sustainable facilities are required to better accommodate and protect forward deployed forces. Current efforts to develop safer, more sustainable operating facilities for contingency bases involve construction activities that redesign the types and characteris-tics of the structures constructed, reduce the resources required to build, and reduce resources needed to operate and maintain the com-pleted facilities. The Automated Construction of Expeditionary Structures (ACES) project was undertaken to develop the capability to “print” custom-designed expeditionary structures on demand, in the field, using locally available materials with the minimum number of personnel. This work investigated large-scale automated “additive construction” (i.e., 3D printing with concrete) for construction applications. This document, which documents ACES energy and modeling, is one of four technical reports, each of which details a major area of the ACES research project, its research processes, and associated results, including: System Requirements, Construction, and Performance; Energy and Modeling; Materials and Testing; Architectural and Structural Analysis.
APA, Harvard, Vancouver, ISO, and other styles
2

Diggs, Brandy N., Richard J. Liesen, Michael P. Case, Sameer Hamoush, and Ahmed C. Megri. Automated Construction of Expeditionary Structures (ACES) : Energy Modeling. Engineer Research and Development Center (U.S.), February 2021. http://dx.doi.org/10.21079/11681/39759.

Full text
Abstract:
The need to conduct complex operations over time results in U.S. forces remaining in deployed locations for long periods. In such cases, more sustainable facilities are required to better accommodate and protect forward deployed forces. Current efforts to develop safer, more sustainable operating facilities for contingency bases involve construction activities that redesign the types and characteris-tics of the structures constructed, reduce the resources required to build, and reduce resources needed to operate and maintain the com-pleted facilities. The Automated Construction of Expeditionary Structures (ACES) project was undertaken to develop the capability to “print” custom-designed expeditionary structures on demand, in the field, using locally available materials with the minimum number of personnel. This work investigated large-scale automated “additive construction” (i.e., 3D printing with concrete) for construction applications. This document, which documents ACES energy and modeling, is one of four technical reports, each of which details a major area of the ACES research project, its research processes, and associated results, including: System Requirements, Construction, and Performance; Energy and Modeling; Materials and Testing; Architectural and Structural Analysis.
APA, Harvard, Vancouver, ISO, and other styles
3

Graf, Andrew. Learning Is the Journey: From Process Reengineering to Systemic Customer-Service Design at the United States Department of Veterans Affairs, Veterans Benefits Administration. Fort Belvoir, VA: Defense Technical Information Center, May 2013. http://dx.doi.org/10.21236/ada583989.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Al-Chaar, Ghassan K., Peter B. Stynoski, Todd S. Rushing, Lynette A. Barna, Jedadiah F. Burroughs, John L. Vavrin, and Michael P. Case. Automated Construction of Expeditionary Structures (ACES) : Materials and Testing. Engineer Research and Development Center (U.S.), February 2021. http://dx.doi.org/10.21079/11681/39721.

Full text
Abstract:
Complex military operations often result in U.S. forces remaining at deployed locations for long periods. In such cases, more sustaina-ble facilities are required to better accommodate and protect forward-deployed forces. Current efforts to develop safer, more sustaina-ble operating facilities for contingency bases involve construction activities that require a redesign of the types and characteristics of the structures constructed, that reduce the resources required to build, and that decrease the resources needed to operate and maintain the completed facilities. The Automated Construction of Expeditionary Structures (ACES) project was undertaken to develop the capa-bility to “print” custom-designed expeditionary structures on demand, in the field, using locally available materials with the minimum number of personnel. This work investigated large-scale automated “additive construction” (i.e., 3D printing with concrete) for con-struction applications. This report, which documents ACES materials and testing, is one of four technical reports, each of which details a major area of the ACES research project, its research processes, and its associated results. There major areas include System Require-ments, Construction, and Performance; Energy and Modeling; Materials and Testing; Architectural and Structural Analysis.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography