Dissertations / Theses on the topic 'Conception de cartes électroniques'

To see the other types of publications on this topic, follow the link: Conception de cartes électroniques.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Conception de cartes électroniques.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Plot, Alexandre. "Approches numériques de conception CEM de cartes électroniques basées sur les techniques d'apprentissage." Electronic Thesis or Diss., Rennes, INSA, 2024. http://www.theses.fr/2024ISAR0001.

Full text
Abstract:
L’évolution constante des technologies des systèmes électroniques pose un défi du point de vue des performances de compatibilité électromagnétique (CEM). La nécessité de concevoir des équipements conformes aux normes CEM dès la première itération implique de prendre en compte la CEM dès les premières étapes de la conception. Ce mémoire s’intéresse à la conception CEM de cartes électroniques sous l’angle de la métamodélisation. L’utilisation d’une telle technique, permettant de se substituer à un modèle physique coûteux à calculer, présente un défi triple impliquant le choix d’une méthode appropriée, la détermination du nombre de données d’apprentissage, et les limites rencontrées dans le contexte de l’augmentation de la dimension (nombre de variables de conception). Cette thèse a permis de proposer une méthodologie complète répondant d’une part à la problématique de l’obtention d’un métamodèle fiable, et d’autre part au défi de l’analyse CEM de cartes électroniques. Un processus d’apprentissage systématique, reposant sur l’identification des variables prépondérantes et la mise en concurrence de plusieurs métamodèles dans un processus itératif d’apprentissage, est mis en place. Le métamodèle est ensuite utilisé comme modèle paramétrique du circuit imprimé, capable de calculer des grandeurs caractéristiques de performances CEM. La réalisation d’analyses de sensibilité et de criticité des paramètres de circuits imprimés, permet d’établir des règles de routage favorisant une conception CEM plus saine de la carte. Plusieurs situations physiques de conception sont étudiées afin de valider la méthode d’apprentissage et de confirmer la pertinence des règles de conception établies
The constant evolution of electronic systems technologies presents a challenge in terms of electromagnetic compatibility (EMC) performance. The need to design equipment compliant with EMC standards from the first iteration requires considering EMC in the early stages of design. This thesis focuses on EMC design of electronic boards from the perspective of surrogate modeling. The use of such a technique, substituting for a costly-tocalculate physical model, poses a triple challenge involving the choice of an appropriate method, determining the number of learning data, and addressing limits in the context of dimension increase (number of design variables). The thesis proposes a comprehensive methodology addressing the training of a reliable metamodel and the challenge of EMC analysis of electronic boards. A systematic learning process, based on identifying significant variables and competing multiple metamodels in an iterative learning process, is established. The metamodel is then used as a parametric model of the printed circuit board, able to compute characteristic EMC observables. Conducting sensitivity and criticality analyses of printed circuit parameters helps establishing routing rules favoring a healthier EMC design of the board. Several scenarios are studied to validate the learning method and confirm the relevance of the established design rules
APA, Harvard, Vancouver, ISO, and other styles
2

Hubau, Agathe. "Conception d'un procédé de biolixiviation pour la valorisation des métaux contenus dans les déchets de cartes électroniques." Thesis, Paris Sciences et Lettres (ComUE), 2019. http://www.theses.fr/2019PSLEC001.

Full text
Abstract:
Parmi les différents types de déchets secondaires, les déchets électroniques représentent le flux de déchets dont la croissance au niveau mondiale est la plus forte. La récupération des métaux dans ces déchets est théoriquement plus efficace d’un point de vue énergétique que l’exploitation de gisements primaires. Cependant, la complexité de ces produits est telle qu’il n’est pas toujours possible de les insérer dans les chaînes de recyclage conventionnelles. La pyrométallurgie est le procédé le plus utilisé pour le raffinage des métaux contenus dans les circuits imprimés mais ce procédé de recyclage est très consommateur d’énergie et est réservé aux déchets à haute teneur en métaux précieux. Les procédés hydrométallurgiques sont moins coûteux et particulièrement flexibles. En particulier, l’utilisation de microorganismes permettant de catalyser les processus d’oxydation des métaux représente une alternative intéressante tant d’un point de vue économique qu’environnemental. Des études ont été menées sur la biolixiviation des circuits imprimés: d’un côté l’utilisation d’acides organiques et cyanure produits par des champignons ; de l’autre, l’utilisation de fer ferrique produit par des bactéries acidophiles, qui ne nécessitent pas de conditions stériles. L’étude de la biolixiviation des déchets de circuits imprimés par des bactéries acidophiles est l’objectif de la thèse. Pour ce faire, un procédé en 2 étapes en continu est mis au point. Différentes problématiques sont prises en compte : caractérisation et préparation des circuits imprimés ; influence du type de broyage ; adaptation des bactéries aux conditions spécifiques de la lixiviation ; rôle du fer ferrique, du pH et de la température ; besoins en O2 et CO2; mécanismes qui contrôlent la cinétique de mise en solution des métaux ; détermination des conditions physico-chimiques et biologiques. Cette thèse est réalisée à l’IRCP et au BRGM, en partenariat avec GeoRessources et est financée par la Chaire ParisTech Mines Urbaines soutenue par Eco-systèmes
Spent Printed circuit boards (PCBs) are today raising attention because they contain almost 35% of metals including precious and strategic metals even at greater concentration than in primary resources (for instance, gold and copper are 25 to 250 times and 20 to 40 times more concentrated in spent PCBs than in ores, respectively). Consequently, spent PCBs are becoming a valuable resource, while the lack of an appropriate treatment could be a cause of environmental pollution. Today, high-grade PCBs are treated by pyrometallurgy to recover precious metals but many strategic metals are lost in the slag during this operation and the energy-cost of such processes is more and more disadvantageous. Therefore, the design of energy-efficient and cost-effective new processes capable to perform efficient metal recovery from PCBs is particularly important. Emerging techniques based on mechanical processes and hydrometallurgy appear as alternative solutions. In particular, biohydrometallurgy could be very promising. In the literature, few studies deal with the use of bioleaching for the treatment of spent PCBs by means of acidophilic microorganisms, which are mainly ferro and sulfo-oxidant. It allows the recovery of different metals such as Cu, Ni, Zn, etc. In the present study, a double-stage continuous bioreactor was designed to bioleach comminuted spent printed circuit boards (PCB) of low and medium grade. This work is performed at IRCP (Chimie ParisTech) and at the BRGM, in partnership with GeoRessources and is funded by the Chair ParisTech Urban Mines, supported by Eco-systemes
APA, Harvard, Vancouver, ISO, and other styles
3

Kussener-Combier, Edith. "Conception de circuits intégrés de régulation intelligente pour les microprocesseurs sécurisés (carte à puce)." Lille 1, 2002. https://pepite-depot.univ-lille.fr/RESTREINT/Th_Num/2002/50376-2002-117.pdf.

Full text
Abstract:
Les technologies utilisées pour la conception des cartes à puce migrent rapidement vers les procédés technologiques CMOS submicroniques les plus récents. Alors que pour les lecteurs de ces cartes cette migration est beaucoup plus lente. Pour ces technologies, la réduction constante des épaisseurs d'oxyde de grille contraint à adapter en interne la tension d'alimentation des cartes à puce avec celle fournie par les lecteurs. Cette adaptation doit se traduire par une conversion et une régulation de tension stable quelque soit les variations internes et externes. Dans le cadre de cette thèse, l'analyse des principales topologies de conversion statique a permis la conception de deux convertisseurs dédiés à l'alimentation de cartes à puce à microprocesseur de chez STM. Le premier convertisseur proposé est un convertisseur linéaire classique, utilisant une nouvelle référence de tension programmable. Le second convertisseur est un convertisseur linéaire intégrant un circuit additionnel, agissant par autorégulation sur la tension de grille du transistor de puissance en sortie
Ce circuit additionnel permet en particulier de réaliser une conversion et une régulation de tension de gain unitaire. La phase de conception de ces convertisseurs nécessite une interprétation correcte des résultats de simulation. Dans ce sens, vue de l'alimentation, une macro modélisation de l'activité du microprocesseur est nécessaire. Une macro modélisation basée sur la simulation et la programmation de plusieurs inverseurs logiques est proposée. Les mesures effectuées sur plusieurs prototypes confirment bien l'interprétation théorique proposée ainsi que les résultats attendus à partir des simulations. Différentes solutions permettent de crypter les informations confidentielles qui circulent aux travers des plots d'alimentations des cartes à puces. Les solutions proposées consistent à ajouter des blocs sécuritaires élémentaires pouvant être pilotés par le microprocesseur via des algorithmes de cryptage
APA, Harvard, Vancouver, ISO, and other styles
4

Leroux, Emmanuel. "Conception et validation d'une méthode numérique hybride appliquée à la prédiction du rayonnement d'une carte électronique connectée à son cablage." Lille 1, 1998. https://pepite-depot.univ-lille.fr/LIBRE/Th_Num/1998/50376-1998-165.pdf.

Full text
Abstract:
Une solution pour reduire le cout relatif a la compatibilite electromagnetique (cem) des cartes a circuits imprimes consiste a faire usage d'outils de simulation. Pour les emissions rayonnees un calcul rigoureux necessite l'utilisation de methodes numeriques gourmandes en temps de calcul. Cette contrainte est incompatible avec les imperatifs de productivite industrielle. L'objet de notre these consiste a proposer et valider une methode hybride qui utilise une methode numerique : partial element equivalent circuit (peec), un algorithme de calcul d'integrite de signal et des techniques analytiques, pouvant realiser un bon compromis entre le temps de simulation et le realisme des resultats. Une analyse du contexte normatif nous permet de preciser la norme en 55022 consideree ainsi que la structure, une carte munie d'un cable, que nous modelisons. Nous decrivons les technologies des cartes electroniques, dressons un bilan des perturbateurs qui interviennent dans le rayonnement d'une carte. Nous determinons deux contributions majeures qui sont traitees dans la methode hybride. Celle-ci utilise la dyadique de green du milieu stratifie pour calculer le champ rayonne par les pistes routees sur les couches externes d'une carte. La methode est expliquee et validee par des comparaisons theoriques et experimentales sur des structures simples et sur une carte industrielle. La methode utilise une representation de thevenin analytique et la methode numerique peec pour calculer le rayonnement d'un cable stimule par le courant de mode commun qui circule dans le plan de masse d'une carte. Elle est expliquee et validee experimentalement.
APA, Harvard, Vancouver, ISO, and other styles
5

Lahmani, Fatine. "Conception et optimisation de circuits électroniques communicants pour une intégration au format carte bancaire : application à une serrure de vélo à assistance électrique." Phd thesis, Université de Cergy Pontoise, 2014. http://tel.archives-ouvertes.fr/tel-01023711.

Full text
Abstract:
Depuis son apparition dans les années 70, les cartes à puce ont envahi le marché mondial, leur utilisation n'a cessé d'augmenter et de se diversifier. Sans forcément nous en rendre compte, chacun de nous en a plusieurs dans son portefeuille, son sac, son attaché-case... Toutes ces cartes ont pour point commun le fait de contenir des informations sur son titulaire qui servent à son identification dans les différentes actions qu'il souhaite effectuer. Ces informations sont présentes sur la piste magnétique et/ou la puce embarquée dans la carte. Avec les progrès technologiques actuels et plus précisément la miniaturisation des composants électroniques, nous sommes de plus en plus amenés à voir des composants complexes embarqués dans des cartes à puce pour satisfaire des besoins en ressources plus grands pour des applications de plus en plus sophistiquées. L'utilisation croissante du nombre des systèmes embarqués sur une carte à puce amène à prendre en compte différentes contraintes lors de la conception. Tout d'abord, il y a celles liées aux systèmes embarqués standards, telles que la surface, la consommation et la rapidité d'exécution. Ensuite viennent celles liées à la carte à puce en elle-même, des spécificités liées à l'épaisseur et aux contraintes mécaniques. On retrouve également des contraintes de consommation et de surface. L'apparition du sans-contact a révolutionné le domaine de la carte à puce. Plus besoin d'introduire la carte dans un lecteur pour lire les informations. Les données ne transitent plus par la puce mais via l'air grâce à une antenne intégrée. Il suffit de se trouver à proximité du lecteur sans forcément sortir la carte de poche ou du sac. Elles sont connues sous le nom de cartes RFID pour Radio Frequency Identification ou identifiction par radio fréquence. D'autres contraintes de conception sont alors apparues : choix de la fréquence à laquelle va se faire la communication et l'échange des données, la géométrie de l'antenne, le choix du tag... Tous les composants ont besoin d'une source d'alimentation. Les circuits RFID basiques dits passifs puisent leur énergie dans le champ magnétique produit à proximité du lecteur mais la complexité de certains circuits nécessite la présence d'une source d'alimentation intégrée dans la carte, dans ce cas les circuits sont désignés par actifs. En général, ce sont des batteries fines et flexibles qui sont utilisées. Là aussi, la technologie a fait d'immenses progrès et des batteries plus fines et avec de plus grandes capacités voient le jour. Ce sont ces batteries qui viennent alimenter les composants de la carte. Tous ces éléments constituent un véritable circuit électronique.Cette thèse industrielle a pour but dans un premier temps de concevoir un circuit électronique embarqué dans une carte au format bancaire en répondant à un cahier des charges bien défini tout en prenant en compte les différentes contraintes imposées par ce format. Ce circuit se devra d'être flexible, autonome et consommant le moins d'énergie possible. Dans un deuxième temps, une fois le produit réalisé et validé le but est de l'optimiser en proposant des solutions afin de faire gagner du temps en amont de la conception par exemple ou en proposant des modèles simples mais qui prennent en compte toutes les contraintes liées à ce type d'applications.
APA, Harvard, Vancouver, ISO, and other styles
6

Goral, Benoit. "Technique et Méthodologie de Conception du Réseau de Distribution d'Alimentation d'une Carte Electronique Rapide à Haute Densité d'Interconnexion." Thesis, Université Paris-Saclay (ComUE), 2017. http://www.theses.fr/2017SACLN037/document.

Full text
Abstract:
Les contraintes économiques actuelles amènent les entreprises d'électronique non seulement à innover à un rythme très soutenu mais aussi à réduire le cycle de conception des nouveaux produits. Afin de rester compétitives, ces entreprises doivent proposer régulièrement de nouveaux produits comportant de nouvelles fonctionnalités, ou améliorant les performances des produits de la génération précédente. Les progrès réalisés peuvent être quantifiés par exemple en terme de vitesse de fonctionnement, encombrement, autonomie et consommation d'énergie. La conception des cartes électroniques incluant ces contraintes est alors délicate. En effet, l'intégration de nouvelles fonctions tout comme la miniaturisation des produits entraînent une densification du circuit imprimé. Le nombre de couches utilisé augmente, l'isolement entre les signaux diminue, l'utilisation de circuits intégrés comportant différentes fonctions comme les SOC ou les SIP entraîne une multiplication du nombre de potentiels d'alimentation. L'augmentation des performances des systèmes impliquent une élévation du taux de débits de données circulant au sein du circuit imprimé et par conséquent l'augmentation des fréquences d'horloge et des signaux. Ces contraintes entraînent l'apparition de problèmes de compatibilité électromagnétique, d'intégrité du signal et d'intégrité de puissance. Il est alors nécessaire de limiter les risques de dysfonctionnement de la carte par une maîtrise des phénomènes qui se produisent d'une part par une analyse de dimensionnement précise afin d'éliminer ou de réduire les problèmes au plus tôt dans la phase de conception et d'autre part en effectuant des simulations de validation une fois la carte terminée. Cette thèse proposée par la société Thales Communications and Security en collaboration avec le laboratoire des Systèmes et Applications des Technologies de l'Information et de l’Énergie (SATIE) de l’École Normale Supérieure de Cachan dans le cadre d'une Convention Industrielle de Formation par la REcherche (CIFRE) a pour but le développement d'une méthodologie d'analyse et de conception du réseau du distribution d'énergie de cartes numériques complexes dans le but de garantir leur fonctionnement sans, ou en réduisant le nombre d'itérations de prototypage. L'introduction au contexte, une description du système étudié et des phénomènes physiques régissant son fonctionnement ainsi qu'un état de l'art des techniques d'analyse d'intégrité de puissance constituent le premier chapitre de ce mémoire. La présentation du véhicule de test, support de tous les résultats de mesure, conçu durant la deuxième année de thèse est l'objet du second chapitre. Ce chapitre dénombre et décrit l'ensemble des scenarii et des réalisations créés pour la mesure des phénomènes propres à l'intégrité de puissance et la corrélation de résultats de simulation avec ceux obtenus en mesure. Dans une troisième partie, les techniques de modélisations de chaque élément constituant le réseau de distribution d'énergie sont décrites. Afin de démontrer la validité des modèles utilisés, les résultats de simulation obtenus pour chaque élément ont été confrontés à des résultats de mesure. Le quatrième chapitre présente la méthodologie de conception et d'analyse de la stabilité des alimentations développée suite aux résultats obtenus des différentes techniques de modélisation. Les outils utilisés sont précisément décrits et les résultats de simulation confrontés à ceux de mesure du système complet du véhicule de test. Dans le chapitre 5, l'intérêt de la modélisation des réseaux de distribution d'énergie sera étendu aux études d'intégrité du signal en démontrant comment son inclusion aux simulations permet d'obtenir, lors de la mise en œuvre de co-simulations, des résultats de simulation plus proches de la réalité. Enfin, la dernière partie de ce document synthétise les travaux de la thèse, porte un regard critique et propose quelques perspectives de travaux futurs
Today's economical context leads electronics and high-tech corporations not only to innovate with a sustained rhythm but also to reduce the design cycle of new products. In order to remain competitive, these corporations must release regularly new products with new functionalities or enhancing performances of the last generation of this product. The enhancement from one generation of the product to the other can be quantified by the speed of execution of a task, the package size or form factor, the battery life and power consumption.The design methodology following these constraints is thus very tough. Indeed, integration of new functionalities as miniaturization of products imply a densification of the printed circuit board. The number of layer in the stack up is increased, isolation between nets is reduced, the use of integrated circuits embedding different functions as SOC or SIP implies a multiplication of the number of voltages. Moreover the increase of circuit performances implies a increasing data rate exchanged between component of the same printed circuit board and occasioning a widening of the reference clock and signal frequency spectrum. These design constraints are the root cause of the apparition of electromagnetic compatibility, signal integrity and power integrity issues. Failure risks must then be limited by fully understanding phenomenon occurring on the board by, on one side, realizing a precise dimensioning pre layout analysis aiming the elimination or reduction of the issues at the beginning of the design cycle, and on the other side, validating the layout by post layout simulation once the printed circuit board routed.This study proposed by Thales Communication and Security in collaboration with public research laboratory SATIE (System and Application of Energy and Information Technologies) of Ecole Normale Supérieure de Cachan within a industrial convention for development through research aims to develop a design methodology for power delivery network of digital printed circuit board with the goal of ensuring good behavior without or by reducing the number of prototypes.The first chapter of this manuscript include an introduction to the context of the study, a precise description of the studied system and the physical phenomenon ruling its behavior, and finally a state of the art of the power integrity technique analysis. A presentation of the test vehicle, designed during the work and support of all measurement results will constitute the focus of second chapter. This chapter presents and describes all the scenarios and implementations created for the observation and measurement of Power Integrity phenomenon and realise measurement-simulation results correlation. In a third part, modeling techniques of each element of the Power Delivery Network are described. The validity of the models is proven by correlating simulation results of each element with measurement results. The fourth chapter presents the analysis and design methodology developed from the results of the different modeling techniques presented in the previous chapter. Simulation tools and their configuration are precisely described and simulation results are compared with measurement results obtained on the test vehicle for the whole system. In the fifth chapter, the interest of power delivery network model will be extended to signal integrity analysis demonstrating how including this model allows to obtain simulation results closer from measurement results by running Signal Integrity Power aware simulation. Finally, the last part of this document synthetizes the work realized and presented in this document, takes a critical look on it and proposes future works and orientations to extend knowledges and understanding of Power Integrity Phenomenon
APA, Harvard, Vancouver, ISO, and other styles
7

Cid, Pastor Angel. "Conception et réalisation de modules photovoltaïques électroniques." Phd thesis, INSA de Toulouse, 2006. http://tel.archives-ouvertes.fr/tel-00128644.

Full text
Abstract:
La connexion entre un générateur photovoltaïque (GPV) et une charge de type continu reste encore un sujet d'étude. L'adaptation d'impédance entre un générateur PV et une charge de type continu est un problème technologique que signifie essentiellement le transfert du maximum de puissance du générateur PV à la charge. La littérature propose une grande quantité de solutions sur l'algorithme de contrôle effectuant une recherche de PPM lorsque le GPV et la charge sont connectés à travers un convertisseur statique. Le problème est abordé dans sa globalité dans cette thèse par une approche systémique du point de vue architecture de conversion et mode de commande associée visant des rendements, fiabilités et flexibilités élevés. Deux voies de recherches ont été menées sur les étages d'adaptation selon d'une part, le concept de transformateur DC et d'autre part, celui de girateur DC. Le premier correspond à une modélisation classique des fonctions basiques idéalisées d'un convertisseur DC/DC en régime établi. Le second correspond au comportement en régime établi d'un girateur de puissance. Concernant les girateurs de puissance, comme ces structures sont peu connues dans le domaine de la conversion de puissance, nous avons mis au point une méthodologie pour la conception et la classification des différents types de girateurs de puissance existants. Dans les deux cas, la réalisation d'étages d'adaptation pour GPV a été menée à travers plusieurs structures simples de convertisseurs statiques pour valider les performances réelles de chacun et en préciser l'utilisation la plus appropriée.
APA, Harvard, Vancouver, ISO, and other styles
8

Assif, Safa. "Fiabilité et optimisation des structures mécaniques à paramètres incertains : application aux cartes électroniques." Phd thesis, INSA de Rouen, 2013. http://tel.archives-ouvertes.fr/tel-00950354.

Full text
Abstract:
L'objectif principal de cette thèse est l'étude de la fiabilité des cartes électroniques. Ces cartes sont utilisées dans plusieurs domaines, tels que l'industrie automobile, l'aéronautique, les télécommunications, le secteur médical, ..., etc. Elles assurent toutes les fonctions nécessaires au bon fonctionnement d'un système électronique. Les cartes électroniques subissent diverses sollicitations (mécaniques, électriques et thermiques) durant la manipulation et la mise en service. Ces sollicitations sont dues aux chutes, aux vibrations et aux variations de température. Elles peuvent causer la rupture des joints de brasage des composants électroniques. Cette rupture entraine la défaillance du système électronique complet. Les objectifs de ce travail sont: - Développer un modèle numérique pour la simulation du drop-test d'une carte électronique ; - Prédire la durée de vie en fatigue des joints de brasure en tenant compte des incertitudes des diverses variables ; - Développer une méthode d'optimisation fiabiliste pour déterminer la géométrie optimale qui assure un niveau cible de fiabilité d'une carte électronique ; - Application d'une nouvelle méthode hybride d'optimisation pour déterminer la géométrie optimale d'une carte électronique et d'un joint de brasure. Cette thèse a donné lieu à deux publications dans une revue indexée, et deux projets de publication et quatre communications dans des manifestations internationales.
APA, Harvard, Vancouver, ISO, and other styles
9

Rifi, Azzam. "Convection naturelle en enceintes fermées fortement partitionnées : application aux cartes électroniques en boitiers étanches." Poitiers, 1990. http://www.theses.fr/1990POIT2335.

Full text
Abstract:
Les contraintes technologiques conduisent parfois a disposer les equipements electroniques dans des boitiers fermes etanches a l'air. Le probleme pose ici est celui de la maitrise de la thermique dans ces dispositifs complexes. Ces systemes thermiques concernent en particulier l'industrie electronique chargee de concevoir ce genre de boitiers contenant des cartes sur lesquelles sont placees des composants qui dissipent de la chaleur. Ceux-ci ne sont pas ventiles, la chaleur est extraite uniquement le long des parois exterieures de l'enceinte, dans des conditions qui dependent fortement de l'environnement externe. Dans le boitier, tous les modes de transfert sont mis en jeu. Il s'agit alors de les exciter au mieux. En particulier, les mouvements convectifs sont sollicites lorsqu'il existe des gradients de temperature favorables a leur creation. Notre propos dans cette etude est d'examiner le comportement thermique d'un dispositif generant une convection forte, a savoir, un boitier refroidi a sa partie superieure, soumis a l'impact de panaches issus d'un reseau de cartes placees verticalement et dissipant de la chaleur. L'originalite de notre etude se situe dans la description du couplage entre les transferts convectifs depuis des thermosiphons verticaux, vers des parois de cavites. Elle s'appuie essentiellement sur l'experience, avec des visualisations d'ecoulements et des mesures de temperatures et de vitesses dans l'enceinte, ainsi que des releves au sein des couches limites, de temperature et de vitesse locales. Cette etude a ete menee afin de confronter nos resultats a ceux d'un code de calcul traitant les equations de naviers-stokes et l'equation de l'energie par la methode des volumes finis, et de determiner des lois d'echange convectif et de frottement adaptees a ce logiciel
APA, Harvard, Vancouver, ISO, and other styles
10

Demmel, Sébastien. "Construction de cartes étendues des difficultés de la route fondée sur la fusion de cartes locales." Versailles-St Quentin en Yvelines, 2012. http://www.theses.fr/2012VERS0051.

Full text
Abstract:
Cette thèse investigue l'utilisation et les performances des systèmes coopératifs pour l'estimation en temps réel du risque routier. Nous offrons en premier lieu une discussion sur les limitations et performances des communications inter-véhiculaire (CIV) et construisons ensuite un modèle à partir de données empiriques collectées sur les pistes d'essais de versailles-Satory. Nos résultats sont plus pessimistes que la littérature existante, suggérant que les limitations des CIV ont été sous-estimées. En second lieu, nous développons une architecture de simulation pour les systèmes coopératifs et la perception augmentée. Cette architecture est mise en oeuvre pour obtenir de nouveaux résultats quant aux bénéfices d sécurité des systèmes coopératifs, particuluèrement une application de freinage d'urgence dans une file de véhicules. D'abord, nous confirmons de précédents résultats sur la réduction du nombre de collisions mais soulevons des doutes quant à la réduction de la gravité de ces collisions. Ensuite, nous comparons l'estimation du risque basée sur des approches coopératives (avec une carte augmentée) et non-coopératives (avec des capteurs exclusivement locaux) dans ce même scénario. Nos résultats montrent que l'utilisation de l'approche coopérative est systématiquement meilleure que la non-coopérative, allant jusqu'à tripler le délai d'avertissement fourni aux conducteurs avant l'accident. Les limitations des CIV n'ont pas eu d'impact sur ce résultat, mais cette conclusion pourrait n'être valide que pour le scénario considéré. Enfin, en dernier lieu, nous proposons une nouvelle approche utilisant la perception augmentée pour la détection des presque-accidents
This thesis investigates the use and performance of augmented perception (Cooperative Systems) for assessment of raod risk. We provide a discussion on intervehicular communications (IVC) limitations and performance model built to incorporate them, created from empirical data collected on the tracks. Our results are more pessimistic than existing literature, suggesting IVC limitations have been underestimated. Then, we develop a CS/Augmented perception simulation architecture. This architecture is used to obtain news results on the safety benefits of a cooperative safety application. At the first, we confirm earlier results in terms of crashes numbers decrease, but raise doubts on benefits in etrms of crashes' severity. Then, augmented and single-vehicule perceptions are compared in a reference driving scenarion for risk assessment. Our results show that augmented perception performance, although this might be valid only for our specific scenario. Eventually, we propose a new approach using augmented perception to identify road risk through a surrogate: near-miss events
APA, Harvard, Vancouver, ISO, and other styles
11

Chomette, Baptiste. "Contrôles modaux actif, semi-adaptatif et semi-actif de structures intelligentes embarquées : application aux cartes électroniques." Lyon, INSA, 2008. http://theses.insa-lyon.fr/publication/2008ISAL0100/these.pdf.

Full text
Abstract:
Les structures embarquées telles que les cartes électroniques embarquées, peuvent être soulises à des contraintes sévères, particulièrement en terme de niveau vibratoire, qui altèrent leur durée de vie opérationnelle. Les industriels recherchent des techniques pour augmenter la durée de vie de ces structures notamment lorsqu'elles sont complexes. En prenant comme application les cartes électroniques embarquées sur missiles MBDA, le mémoire suivant présente dans une première partie, une méthode de réduction des vibrations par contrôle actif modal pour réduire le dommage modal induit par les vibrations. Le choix de la stratégie de contrôle modal permet de minimiser l'énergie nécessaire au contrôle en la ciblant uniquement sur les modes à contrôler. La stratégie modale permet également de limiter le nombre d'actionneurs et de capteurs nécessaires. Le contrôle est réalisé par des actionneurs et capteurs piézoélectriques. La stratégie de contrôle modal a été numériquement puis expérimentalement testée sur la carte électronique dans sa configuration industrielle en termes de conditions aux limites et de niveau d'excitation. La production en grande série peut induire une dispersion sur les caractéristiques et donc sur les paramètres modaux de la structure. De plus, les conditions initiales de fonctionnement, comme les conditions aux limites, peuvent varier pendant la durée de vie de la carte électronique et ainsi modifier les performances et la stabilité du contrôle établi sur le modèle nominal. Afin d'augmenter la robustesse du contrôle modal, le mémoire suivant présente dans une deuxième partie, une stratégie de contrôle modal semi-adaptative, basée sur des algorithmes d'identification, pour prendre en compte les évolutions et/ou variations de la structure tout en garantissant des performances optimales. La stratégie semi-adaptative est ensuite testée numériquement puis expérimentalement sur la carte électronique pour une variation fréquentielle et pour une variation du couple de serrage. Enfin, dans le contexte des structures embarquées, l'énergie utilisée par le contrôle doit être minimale pour limiter la masse embarquée. La dernière partie présente ainsi une stratégie de contrôle semi-actif modal pour éliminer l'apport d'énergie opérative. Elle est appliquée numériquement, notamment sur le mode le plus endommageant en présence d'excitation aléatoire. Cette technique pourrait être utilisée pour augmenter la durée de vie moyennant des recherches complémentaires
On-board structures such as electronic boards are submitted to severe stresses, particularly vibration. This extreme environment affects the structure’s expected lifetime. Some techniques can be used to increase their lifetime particularly in the case of complex structures. This dissertation presents firstly a method to reduce vibration using modal active control applied to on-board MBDA Printed Circuit Boards (PCB). This modal strategy permits to reduce on-board energy and to target the control energy only on the controlled modes using a minimum number of components. The control uses piezoelectric actuators and sensors. The method has been numerically and experimentally tested on the PCB with industrial boundary conditions and excitation levels. In the case of industrial mass production, dispersion leads to changes in mechanical and electromechanical properties. Moreover, boundary condition variations can induce mechanical properties variations. These variations can modify control performance and stability. This dissertation presents secondly a modal semi-adaptive control strategy, based on identification algorithms, aim at increasing control robustness. This strategy has been numerically and experimentally tested on the PCB for frequency and torque variations respectively. Finally, in the case of on-board structures, on-board energy used by the control must be minimal to reduce on-board mass. The last part of this dissertation presents a modal semi-active control strategy to eliminate operational energy. This method has been numerically tested on the most damaging mode of the PCB. This method could be used to increase PCB lifetime in future research
APA, Harvard, Vancouver, ISO, and other styles
12

El, belghiti alaoui Nabil. "Stratégie de testabilité en production des cartes électroniques à forte densité d’intégration et à signaux rapides." Thesis, Toulouse, INSA, 2020. http://www.theses.fr/2020ISAT0018.

Full text
Abstract:
Les étapes de test en production sont basées à ce jour sur des contrôles optiques (AOI), inspection des joints de soudures par Rayons-X (AXI), électriques (ICT) et tests fonctionnels. Face à la multiplication et à la miniaturisation des composants, la cohabitation de plusieurs technologies (numérique, analogique, radiofréquence, puissance…) sur le même PCB (Printed Circuit Board), les moyens de test listés précédemment ne sont plus suffisants pour répondre complètement aux exigences de couverture de tests en production, car peu performants et coûteux en temps de développement et de cycle de test.L'objectif de cette thèse CIFRE avec ACTIA Automotive en collaboration avec le laboratoire LAAS-CNRS est de définir une stratégie de test en production innovante et adaptée aux produits à forte densité en envisageant dans un premier temps toutes les techniques existantes ou à développer. Pour ce faire, nous avons abordé dans cette thèse, des améliorations à apporter aux méthodologies de test existantes et proposé également des approches de test utilisables en amont de la production des PCBAs (Printed Circuit Board Assemblies) à haute densité et à signaux rapides.Premièrement, nous avons introduit une nouvelle technique sans contact pour tester des PCBAs lorsque l’accès physique de test est très limité. La technique consiste à utiliser des sondes de champ magnétique proche, qui détectent la distribution de champ magnétique émanant de certains composants montés sur le PCB dans le but de tester leur présence sur la carte et leur valeur par la suite. Deuxièmement, une approche de test utilisant des signatures thermiques infrarouges est présentée. Cette technique peut détecter les défauts d’assemblage du composant tel que sa présence, sa valeur et dans certain cas son état de santé, ce qui permet de conclure sur l’état de défaut du PCBA. Afin d’évaluer la pertinence de ces deux techniques, plusieurs scénarios de défaut ont été considérés et analysés avec un algorithme de détection de valeurs aberrantes. Sur plusieurs cas, les défauts de fabrication sont discriminés avec des marges importantes, tout en tenant compte de la variabilité de spécification des composants.Finalement, une technique pour regagner de l’accessibilité de test sur des pistes de transmission de signal de haute fréquence est présentée. La technique consiste à utiliser de petites ouvertures dans le masque de soudure directement au-dessus des pistes portant des signaux digitaux. Les conducteurs exposés sont mis en contact avec une sonde à bout déformable, conducteur et anisotrope. La faisabilité industrielle de cette technique a été testée sur un prototype que nous avions développé en collaboration avec la filiale d’ACTIA Group : ACTIA Engineering Services
Until today, the production tests are based mainly on optical verification (AOI), X-ray inspection (AXI), electrical (ICT) and functional tests. Faced with the miniaturization of component packages, the high densification and integration of several technologies (digital, analog, radio frequency, power ...) on the same PCB (Printed Circuit Board), the test techniques listed above are no longer sufficient to fully meet the production test coverage requirements, because they are costly in terms of development time and test cycle and are not very efficient.The objective of this CIFRE thesis with ACTIA Automotive in collaboration with LAAS-CNRS is to define an innovative production test strategy adapted to high-density products. To do this, we have addressed in this work, improvements to existing test methodologies and proposed test approaches usable upstream of the production process of PCBAs (Printed Circuit Board Assemblies).First, we introduced a new contactless technique for testing PCBAs with limited physical test access. The technique involves the use of near-field magnetic sensors, which detect the magnetic signatures from components mounted on the PCB in order to test their presence and their value thereafter. Secondly, a test approach using infrared thermal signatures is presented. This technique can detect component assembly defects such as, presence, value and in some cases its state of health, which allows concluding on the PCBA state of defect. In order to evaluate the relevance of these two techniques, several fault scenarios were considered and analyzed with an outlier detection algorithm. In several cases, the manufacturing defects are discriminated with significant margins, while taking into account the variability in component specifications.Finally, a technique for regaining test accessibility on high frequency signal transmission tracks is presented. The technique uses small openings in the solder mask directly above the tracks carrying digital signals. The exposed conductors are contacted with a probe with deformable and anisotropic conductive tip. The industrial feasibility of this technique was tested on a prototype that we developed in collaboration with the ACTIA Group subsidiary: ACTIA Engineering Services
APA, Harvard, Vancouver, ISO, and other styles
13

Lubaszewski, Marcelo Soares. "Le test unifié de cartes appliqué à la conception de systèmes fiables." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 1994. http://hdl.handle.net/10183/26862.

Full text
Abstract:
Si on veut assurer de fawn efficace les tests de conception, de fabrication, de maintenance et le test accompli au cours de l'application pour les systemes electroniques, on est amend a integrer le test hors-ligne et le test en-ligne dans des circuits. Ensuite, pour que les systemes complexes tirent profit des deux types de tests, une telle unification doit etre &endue du niveau circuit aux niveaux carte et module. D'autre part, bien que rintegration des techniques de test hors-ligne et en-ligne fait qu'il est possible de concevoir des systemes pour toute application securitaire, le materiel ajoute pour assurer une haute siirete de fonctionnement fait que la fiabilite de ces systemes est reduite, car la probabilite d'occurrence de fautes augmente. Confront& a ces deux aspects antagoniques, cette these se fixe l'objectif de trouver un compromis entre la securite et la fiabilite de systemes electroniques complexes. Ainsi, dans un premier temps, on propose une solution aux problemes de test hors-ligne et de diagnostic qui se posent dans les &apes intermediaires de revolution vers les cartes 100% compatibles avec le standard IEEE 1149.1 pour le test "boundary scan". Une approche pour le BIST ("Built-In Self-Test") des circuits et connexions "boundary scan" illustre ensuite retape ultime du test hors-ligne de cartes. Puis, le schema UBIST ("Unified BIST") - integrant les techniques BIST et "self-checking" pour le test en-ligne de circuits, est combine au standard IEEE 1149.1, afin d'obtenir une strategie de conception en vue du test unifie de connexions et circuits montes sur des cartes et modules. Enfin, on propose un schema tolerant les fautes et base sur la duplication de ces modules securitaires qui assure la competitivite du systeme resultant du point de vue de la fiabilite, tout en gardant sa silrete inherente.
On one hand, if the goal is to ensure that the design validation, the manufacturing and the maintenance testing, along with the concurrent error detection are efficiently performed in electronic systems, one is led to integrate the off-line and the on-line testing into circuits. Then, for complex systems to make profit of these two types of tests, such unification must be extended from the circuit to the board and module levels. On the other hand, although the unification of off-line and on-line testing techniques makes possible the design of systems suiting any safety application, the hardware added for increasing the application safety also decreases the system reliability, since the probability of occurrence of faults increases. Faced to these two antagonist aspects, this thesis aims at finding a compromise between the safety and the reliability of complex electronic systems. Thus, firstly we propose a solution to the off-line test and diagnosis problems found in the intermediate steps in the evolution towards boards which are 100% compliant with the IEEE standard 1149.1 for boundary scan testing. An approach for the BIST (Built-In Self-Test) of boundary scan circuits and interconnects then illustrates the ultimate step in the board off-line testing. Next, the UBIST (Unified BIST) scheme - merging BIST and self-checking capabilities for circuit on-line testing, is combined with the IEEE standard 1149.1, in order to obtain a design strategy for unifying the tests of interconnects and circuits populating boards and modules. Finally, we propose a fault-tolerant scheme based on the duplication of these kind of modules which ensures the competitivity of the resulting system in terms of reliability at the same time as preserving the inherent module safety.
APA, Harvard, Vancouver, ISO, and other styles
14

Lubaszewski, Marcelo. "Le test unifié de cartes appliqué à la conception de systèmes fiables." Grenoble INPG, 1994. http://www.theses.fr/1994INPG0055.

Full text
Abstract:
Si on veut assurer de facon efficace les tests de conception, de fabrication, de maintenance et le test accompli au cours de l'application pour les systemes electroniques, on est amene a integrer le test hors-ligne et le test en-ligne dans des circuits. Ensuite, pour que les systemes complexes tirent profit des deux types de tests, une telle unification doit etre etendue du niveau circuit aux niveaux carte et module. D'autre part, bien que l'integration des techniques de test hors-ligne et en-ligne fait qu'il est possible de concevoir des systemes pour toute application securitaire, le materiel ajoute pour assurer une haute surete de fonctionnement fait que la fiabilite de ces systemes est reduite, car la probabilite d'occurrence de fautes augmente. Confrontee a ces deux aspects antagoniques, cette these se fixe l'objectif de trouver un compromis entre la securite et la fiabilite de systemes electroniques complexes. Ainsi, dans un premier temps, on propose une solution aux problemes de test hors-ligne et de diagnostic qui se posent dans les etapes intermediaires de l'evolution vers les cartes 100% compatibles avec le standard IEEE 1149. 1 pour le test "boundary scan". Une approche pour le BIST ("Built-In Self-Test") des circuits et connexions "boundary scan" illustre ensuite l'etape ultime du test hors-ligne de cartes. Puis, le schema UBIST ("Unified BIST") - integrant les techniques BIST et "self-checking" pour le test en-ligne de circuits, est combine au standard IEEE 1149. 1, afin d'obtenir une strategie de conception en vue du test unifie de connexions et circuits montes sur des cartes et modules. Enfin, on propose un schema tolerant les fautes et base sur la duplication de ces modules securitaires qui assure la competitivite du systeme resultant du point de vue de la fiabilite, tout en gardant sa sureté inherente
On one hand, if the goal is to ensure that the design validation, the manufacturing and the maintenance testing, along with the concurrent error detection are efficiently performed in electronic systems, one is led to integrate the off-line and the on-line testing into circuits. Then, for complex systems to make profit of these two types of tests, such unification must be extended from the circuit to the board and module levels. On the other hand, although the unification of off-line and on-line testing techniques makes possible the design of systems suiting any safety application, the hardware added for increasing the application safety also decreases the system availability and reliability, since the probability of occurrence of faults increases. Faced to these two antagonist aspects, this thesis aims at finding a compromise between the safety and the reliability of complex electronic systems. Thus, firstly we propose a solution to the off-line test and diagnosis problems found in the intermediate steps in the evolution towards boards which are 100% compliant with the IEEE standard for boundary scan testing. An approach for the BIST (Built-In Self-Test) of boundary scan circuits and interconnects then illustrates the ultimate step in the board off-line testing. Next, the UBIST (Unified BIST) scheme - merging BIST and self-checking capabilities for circuit on-line testing, is combined with the IEEE standard for boundary scan testing, in order to obtain a design strategy for unifying the tests of interconnects and circuits populating boards and modules. Finally, we propose a fault-tolerant scheme based on the duplication of these kind of modules which ensures the competitivity of the resulting system in terms of reliability at the same time as preserving the inherent module safety
APA, Harvard, Vancouver, ISO, and other styles
15

Collin, Olivier. "Conception de circuits électroniques par des réseaux de neurones : application au convertisseur analogique numérique." Rennes 1, 1991. http://www.theses.fr/1991REN10117.

Full text
Abstract:
Ces dernieres annees ont vu l'apparition de nouvelles techniques regroupees sous le nom de reseaux de neurones. Ces modeles sont construits a partir d'elements au fonctionnement tres simple mais largement connectes entre eux. La conception de circuits est un probleme d'actualite pour lequel l'analyse et la synthese d'outils sont large-absents. Leur architecture generique et leur aptitude a realiser des relations du type entree-sortie permettent a certains reseaux neuroniques de pretendre au qualificatif d'outils d'aide a la conception de circuits. Nous avons explore les principales techniques actuelles concernant les reseaux de neurones afin de les appliquer a la conception d'un convertisseur analogique/numerique (can). Nous avons essentiellement repris les travaux de hopfield proposant la realisation d'un can a partir d'un reseau entierement connecte. Dans ce cas, le probleme de la conversion est pose comme un probleme d'optimisation en nombres entiers. Il s'agit de trouver le mot binaire de sortie correspondant au mieux, au sens des moindres carres, a la valeur analogique d'entree. Le calcul est effectue de maniere analogique par un reseau entierement connecte qui se relaxe d'un niveau d'energie eleve vers un niveau inferieur correspondant a la solution du probleme. Le calcul est bien global et la structure correspond a une sortie de memoire analogique associant par un mecanisme energetique une entree analogique a une sortie numerique.
APA, Harvard, Vancouver, ISO, and other styles
16

Perbost, Marc. "Analyse de testabilité et ressources JTAG : problèmes d'initialisation, de contrôlabilité et d'observabilité dans les composés électroniques logiques MCM et cartes." Montpellier 2, 1997. http://www.theses.fr/1997MON20157.

Full text
Abstract:
L'objectif de cette these est le developpement d'une maquette logicielle d'analyse de testabilite de cartes et mcm (multichip module) fortement numeriques chez dassault electronique. Une etude bibliographique sur le domaine de la testabilite presente les differentes methodes d'evaluation et d'analyse de testabilite ainsi que les ressources materielles exploitables pour rendre plus facilement testables les cartes et mcm. Ensuite, le contexte industriel du test chez dassault electronique met en evidence le besoin justifiant ce travail de recherche et developpement. Les contraintes specifiques des cartes et mcm industriels vis a vis de leurs tests sont introduites a ce niveau. La methodologie proposee pour realiser une analyse de testabilite automatique est detaillee. Elle utilise une modelisation de cartes/mcm composee d'informations qualitatives. Ceci permet notamment de s'affranchir des difficultes inherentes a la modelisation des composants complexes (microprocesseur, fpga,. . . ). Des algorithmes et des regles de testabilite sont appliques sur cette modelisation. Ces regles de testabilite formalisent et capitalisent l'experience et le savoir-faire de l'expert de testabilite. Le principe general de fonctionnement de l'outil est l'interactivite avec l'expert qui valide/propose des hypotheses de testabilite pendant l'analyse. Le prototype a ete experimente sur une carte industrielle. Les resultats d'analyse fournis par l'outil convergent avec ceux de l'expert. Les performances en temps cpu s'averent satisfaisantes et encourageantes pour realiser une analyse de testabilite de cartes et mcm complexes (50 a 100 composants de 200 broches par exemple). Des developpements futurs, concernant de nouvelles regles de testabilite ainsi que des elements supplementaires de testabilite, permettront d'obtenir un outil d'aide efficace pour l'expert de testabilite durant son travail.
APA, Harvard, Vancouver, ISO, and other styles
17

Crampon, Marie-Agathe. "Conception et réalisation d'électrodes neuronales dédiées à des stimulateurs électroniques implantables." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1999. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape8/PQDD_0007/MQ42902.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Bounouar, Mohamed Amine. "Transistors mono-électroniques double-grille : modélisation, conception & évaluation d'architectures logiques." Thèse, Université de Sherbrooke, 2013. http://hdl.handle.net/11143/6117.

Full text
Abstract:
Dans les années à venir, l'industrie de la microélectronique doit développer de nouvelles filières technologiques qui pourront devenir des successeurs ou des compléments de la technologie CMOS ultime. Parmi ces technologies émergentes relevant du domaine « Beyond CMOS », ce travail de recherche porte sur les transistors mono-électroniques (SET) dont le fonctionnement est basé sur la quantification de la charge électrique, le transport quantique et la répulsion Coulombienne. Les SETs doivent être étudiés à trois niveaux : composants, circuits et système. Ces nouveaux composants, utilisent à leur profit le phénomène dit de blocage de Coulomb permettant le transit des électrons de manière séquentielle, afin de contrôler très précisément le courant véhiculé. En effet, l'émergence du caractère granulaire de la charge électrique dans le transport des électrons par effet tunnel, permet d'envisager la réalisation de remplaçants potentiels des transistors ou de cellules mémoire à haute densité d'intégration, basse consommation. L'objectif principal de ce travail de thèse est d'explorer et d'évaluer le potentiel des transistors mono-électroniques double-grille métalliques (DG-SETs) pour les circuits logiques numériques. De ce fait, les travaux de recherches proposés sont divisés en trois parties : i) le développement des outils de simulation et tout particulièrement un modèle analytique de DG-SET ; ii) la conception de circuits numériques à base de DG-SETs dans une approche « cellules standards » ; et iii) l'exploration d'architectures logiques versatiles à base de DG-SETs en exploitant la double-grille du dispositif. Un modèle analytique pour les DG-SETs métalliques fonctionnant à température ambiante et au-delà est présenté. Ce modèle est basé sur des paramètres physiques et géométriques et implémenté en langage Verilog-A. Il est utilisable pour la conception de circuits analogiques ou numériques hybrides SET-CMOS. A l'aide de cet outil, nous avons conçu, simulé et évalué les performances de circuits logiques à base de DG-SETs afin de mettre en avant leur utilisation dans les futurs circuits ULSI. Une bibliothèque de cellules logiques, à base de DG-SETs, fonctionnant à haute température est présentée. Des résultats remarquables ont été atteints notamment en termes de consommation d'énergie. De plus, des architectures logiques telles que les blocs élémentaires pour le calcul (ALU, SRAM, etc.) ont été conçues entièrement à base de DG-SETs. La flexibilité offerte par la seconde grille du DG-SET a permis de concevoir une nouvelle famille de circuits logiques flexibles à base de portes de transmission. Une réduction du nombre de transistors par fonction et de consommation a été atteinte. Enfin, des analyses Monte-Carlo sont abordées afin de déterminer la robustesse des circuits logiques conçus à l'égard des dispersions technologiques.
APA, Harvard, Vancouver, ISO, and other styles
19

Bérubé, Benoit-Louis. "Développement d'une technologie NMOS pour la conception de fonctions électroniques avancées." Mémoire, Université de Sherbrooke, 2010. http://savoirs.usherbrooke.ca/handle/11143/1567.

Full text
Abstract:
Ce mémoire de maîtrise présente le développement d'une technologie NMOS utilisée en enseignement au 1er et 2e cycle et comme preuve de concepts en recherche à l'Université de Sherbrooke. Le développement est basé sur la technologie JOPE à 6 masques utilisée en enseignement depuis les années 90. Le but de ce projet est d'optimiser ce procédé pour augmenter la reproductibilité des circuits et la densité d'intégration. Les problèmes de JOPE sont une forte résistivité de couche du polySi, une grande résistivité des contacts aluminium/polySi et aluminium/zone active ainsi qu'une grande fluctuation de la tension de seuil des transistors. Le procédé de fabrication JOPE a été optimisé pour créer JOPE2 afin d'améliorer les propriétés physiques des composantes et atteindre les objectifs fixés. Des circuits ont été fabriqués contenant des structures de caractérisations et des circuits numériques et analogiques conçus avec une règle de longueur de grille minimale de 2 [micro]m. La résistivité du polySi de JOPE2 est diminuée d'un facteur 5 en augmentant la température de déposition de la couche par LPCVD et en ajoutant une implantation ionique dédiée en plus de celle déjà prévue avec le procédé autoaligné pour les sources/drains. De cette façon, la résistivité des contacts aluminium à polySi est diminuée d'un facteur 10. La résistivité des contacts aluminium à zone active est diminuée d'un facteur 20 en augmentant la dose d'implantation ionique des sources/drains. JOPE2, tout comme JOPE, présente une variation importante de la tension de seuil causée par les charges d'interfaces Si/SiO[indice inférieur 2] et la variation de la résistivité du substrat utilisée (1 à 10 [oméga]-cm). Le faible rendement du procédé, évalué à 47 %, est causé par la faible stabilité des contacts, la grande densité de défauts et les limitations en ce qui a trait à l'alignement des masques. Pour faire suite à ce projet, un procédé NMOS à 3 [micro]m est recommandé afin d'augmenter le rendement en diminuant l'impact des défauts, améliorant la stabilité des contacts et en augmentant la qualité de l'alignement. De plus, pour augmenter la stabilité de la tension de seuil il est recommandé d'utiliser des tranches hautes résistivité. Le procédé recommandé devrait permettre de fabriquer des circuits complexes basés sur des transistors NMOS avec un rendement de 80 %.
APA, Harvard, Vancouver, ISO, and other styles
20

Al-Mohammed, Mohammed. "Conception des systèmes électroniques : les étapes d'optimisation et d'allocation des tolérances." Toulouse, INPT, 2003. http://www.theses.fr/2003INPT057H.

Full text
Abstract:
Ce travail s'inscrit dans le cadre d'une réflexion méthodologique générale sur la conception des systèmes et microsystèmes. Il vise à résoudre le problème d'allocation des tolérances, c'est à dire l'allocation d'une variance aux caractéristiques des composants d'un système en fonction d'un objectif de performance pour le système global : le calcul de cette allocation des tolérances est réalisé sur la base d'une modélisation complète du système : le prototype virtuel. L'originalité tient à l'application d'une méthode statistique de Monte-Carlo et d'une méthode par réseaux de neurones. Sur cette base est construit un algorithme qui servira dans un processus général de conception descendante des systèmes. Le travail s'inscrit dans l'ensemble des efforts consacrés, par le LEN7, à la conception des systèmes électroniques. Il associe le LAAS/CNRS pour les applications " microsystèmes " et la société SIGMA PLUS qui souhaite au terme de notre travail proposer un outil industriel [. . . ]
APA, Harvard, Vancouver, ISO, and other styles
21

Feuillet, Vincent. "Développementd'outils d'analyse thermique pour la conception de composants électroniques de puissance." Nantes, 2006. http://www.theses.fr/2006NANT2072.

Full text
Abstract:
Ce travail concerne le développement d’outils d’analyse thermique pour la conception de composants électroniques de puissance. Ce genre d’outils s’avère incontournable pour faire face aux problèmes de dissipation et d’évacuation de la chaleur dans les composants actuels. Les assemblages étudiés sont constitués d’éléments de dimensions variables pouvant comporter un grand nombre de sources de chaleur. La méthode des Résistances Discrètes de Frontière (RDF) a permis la détermination des champs thermiques au sein de composants hétérogènes. Elle constitue une alternative aux méthodes aux Eléments Finis (EF). Elle a été validée par comparaison à un code aux EF. Elle a été utilisée pour l’étude thermique d’un composant à transistors hyperfréquences. Une méthode d’estimation de distributions de résistance thermique pour la détection de défauts et d’hétérogénéités entre deux éléments a été mise au point. Elle repose sur l’analyse du champ de température au sommet de l’assemblage acquis par thermographie infrarouge. L’algorithme du gradient conjugué a été appliqué et a permis d’identifier différentes distributions 2D de résistance thermique. Des améliorations ont été apportées à l’algorithme pour l’estimation d’une distribution de paramètres. Ce travail a conduit à l’élaboration d’un dispositif expérimental visant la caractérisation thermique de défauts et d’hétérogénéités d’assemblage. Une méthode de fabrication associant les procédés de photolithographie et de gravure chimique a permis la réalisation d’éléments chauffants destinés à l’excitation thermique de l’assemblage. Le dispositif a été utilisé pour la caractérisation de lames d’air au sein de liaisons adhésives
This work deals with the development of several tools for the thermal design of power electronic components. This kind of tools are essential to face the heat dissipation problems in the current components. The systems under consideration are heterogeneous stacked structures of elements of various size with a high number of heat sources. An original calculation method has been developed to determine the temperature distribution in heterogeneous components. The Discrete Boundary Resistance (DBR) method constitutes an alternative to Finite-Element methods. It has been validated by comparing the results with those given by a Finite-Element code. It has been used for the thermal analysis of a Radio-Frequency component. An estimation method of thermal resistance distributions has also been developed. This method aims at detecting defects and heterogeneities at the interface of two elements. It consists in analysing the temperature distribution at the top of the structure with infrared thermography. The conjugate gradient algorithm has been used to estimate different types of thermal resistance distributions. The algorithm has been improved for the estimation of a parameter distribution. Moreover, this work lead to the set up of an experimental apparatus for the thermal characterisation of defects and heterogeneities. The photolithography and chemical etching processes have been applied to form heater elements for the thermal stimulation of the structure. Finally the experimental set-up has been used to characterize air gaps in adhesive bonds
APA, Harvard, Vancouver, ISO, and other styles
22

Saint-Martin, Olivier. "Etude et réalisation de systèmes intégrés en imagerie numérique : application à l'inspection visuelle automatique de cartes électroniques équipées." Toulouse 3, 1990. http://www.theses.fr/1990TOU30002.

Full text
Abstract:
Le but de ce travail est la realisation d'un systeme d'inspection visuelle automatique de cartes electroniques equipees. L'etude debute par le recensement des besoins du controle de qualite et des contraintes materielles liees a l'implantation de l'appareillage dans une ligne de production industrielle. La description du systeme prototype de developpement presente l'etude et l'integration des modules mecaniques, informatiques et de la chaine d'acquisition d'images. L'analyse des parametres radiometriques des differents elements composant la scene a permis de definir la geometrie et le contenu spectral de trois systemes d'eclairage mettant en valeur les caracteristiques differenciant les objets normaux des objets defectueux. Les methodes d'analyse d'images developpees ont pour but la detection sur les cartes electroniques de trois types de defauts: verification de la presence et de l'orientation des circuits integres avant la phase de soudure; verification de l'implantation de la totalite des composants avant soudure; detection des courts-circuits entre connexions voisines apres la phase de soudure. Le respect d'une duree d'inspection compatible avec le flux de production industrielle a necessite l'evolution de l'architecture informatique et mecanique, et conduit a la realisation d'un systeme industriel de premiere generation brievement decrit et evalue
APA, Harvard, Vancouver, ISO, and other styles
23

Premont, Christophe. "Etude et conception d'un composant analogique programmable en technologie CMOS standard." Lyon, INSA, 1998. http://www.theses.fr/1998ISAL0028.

Full text
Abstract:
L’objet de ce mémoire de thèse est l'étude et la conception d'un composant analogique programmable en technologie CMOS standard. Ce composant que l'on appelle indifféremment, réseau analogique programmable ou composant analogique programmable, est constitué d'un réseau de cellules analogiques. Chaque cellule doit être configurable pour remplir différentes fonctionnalités avec des spécifications particulières. D'autre part, les interconnexions entre ces différentes cellules doivent être elles aussi configurables. La configuration du réseau pour permettre l'implémentation d'une fonction analogique donnée se fait par une interface (analogique ou numérique) qui permet la reprogrammation et la sauvegarde des informations de configuration. Une nouvelle approche basée sur des amplificateurs à transrésitance utilisant des convoyeurs de courants met en œuvre des transconductances différentielles offrant de larges gammes de programmation avec des performances électriques intéressantes. Ce mémoire décrit l'étude et la conception de ce composant et s articule principalement autour de six chapitres. Le premier chapitre développe tout d abord le concept du réseau analogique programmable. Le second chapitre propose une méthodologie de conception des circuits analogiques. On y définit l’architecture du réseau en terme d’arcs et d interconnexions, ainsi que l’élément de base utilisé pour construire de applications analogiques, la cellule analogique reconfigurable. L'objet du troisième chapitre est d'une part, de mettre en évidence les enjeux liés à la conception de circuits ana logiques utilisant le courant comme porteur de l’information utile, et d’autre part, de présenter un circuit particulier le convoyeur de courant. Le quatrième chapitre présente la cellule analogique Reconfigurable qui est utilisée comme brique élémentaire pour bâtir les différentes applications analogiques à intégrer dans le composant programmable. L'objet du cinquième chapitre est de présenter la structure du composant et les différentes solutions retenues. Le but du dernier chapitre est double. Il s'agit dans un premier temps de développer quelques exemples d’applications puis de développer des perspectives d'avenir pour ce composant analogique programmable
This thesis is concerned with the study and the design of a field-programmable analogue array with a CMOS standard process. This circuit is an analogue cells based array. Each cell is programmable and can achieve various analogue functions with specific performance. Beside, the interconnections between the cells have to be programmable. The array configuration is achieved using a digital or an analogue interface circuitry to implement a particular function. For a flexible programmability and high-electrical performance, a new approach based and a transresistor amplifier using current conveyors have been developed to control full-differential transconductances. This report falls into six chapters. The first one deals with the concept of field programmable analogue array. The second chapter presents a methodology for describing analogue circuits. The array architecture is studied according to the requirements for such a programmable circuit. The main feature of the third chapter is to introduce the current-mode approach with the current conveyor. The fourth chapter presents the programmable analogue cell designed during the project. The structure of the analogue array and the proposed solutions are thoroughly described in the fifth chapter. The last chapter presents some application examples and it focuses on future works
APA, Harvard, Vancouver, ISO, and other styles
24

Chastang, Cyril. "Techniques et méthodologies de validation par la simulation des liens multi-gigahertz des cartes électroniques haute densité." Phd thesis, École normale supérieure de Cachan - ENS Cachan, 2013. http://tel.archives-ouvertes.fr/tel-00846476.

Full text
Abstract:
La tendance dans la conception de cartes électroniques imprimées est de remplacer les traditionnels bus parallèles par des liens série rapides dont le débit peut atteindre plusieurs dizaines de Gigabit par seconde (Gbps). Cette thèse proposée par THALES Communications & Security en collaboration avec le laboratoire SATIE de l'ENS de Cachan a pour objectif de définir une approche adaptée au traitement des problèmes de liens multi-gigahertz, de manière à garantir le fonctionnement d'une carte numérique complexe (multicouches, haute densité d'intégration, ...) sans qu'une phase de prototypage ne soit nécessaire. Après un état de l'art, ce travail s'est organisé en trois parties : La première partie porte sur l'étude du canal de propagation. La décomposition spectrale des liens multi-gigabits couvrant plusieurs gigahertz voir plusieurs dizaines de gigahertz montre la nécessité d'employer des logiciels de simulations spécifiques au domaine des hyperfréquences. Une évaluation de certains solveurs électromagnétiques 3D parmi les plus récents a été réalisée afin d'extraire les paramètres S du canal de propagation de façon précise et rapide a partir des informations issues des logiciels de CAO utilisés à THALES. La seconde partie traite de la prise en compte des émetteurs, des récepteurs et des traitements numériques associés dans la simulation afin de réaliser des calculs de diagrammes de l'œil, de taux d'erreurs binaires (BER) et de jitter. L'utilisation de la norme IBIS-AMI, très récente, et la comparaison des performances aves d'autres outils, tel que HSPICE, a demandé l'évaluation de simulateurs circuit de dernière génération. Cette étape a été réalisée en étroite collaboration avec les éditeurs des logiciels car certains outils ne sont pas suffisamment matures pour s'inscrire dans un flot global de conception. Enfin, la chaîne de simulation complète ayant été validée par la mesure, nous avons effectué une analyse approfondie des différentes composantes du jitter en fonction des phénomènes physiques plus ou moins destructeurs pour la qualité du signal. Cela nous a ensuite permis d'établir les règles et la méthodologie de conception, en tenant compte des marges allouées à partir des résultats de l'analyse du jitter.
APA, Harvard, Vancouver, ISO, and other styles
25

Kadionik, Patrice. "Contribution aux logiciels d'essais des cartes électroniques VXI du multidétecteur Eurogam dans un environnement réparti Unix/VxWorks." Bordeaux 1, 1992. http://www.theses.fr/1992BOR10654.

Full text
Abstract:
Le multidetecteur de rayonnements gamma eurogam comprend, dans une premiere phase de realisation, 45 detecteurs ge hyperpurs, chacun entoure de 10 detecteurs bgo. Afin d'assurer une grande fiabilite et de permettre une evolution de l'ensemble, les cartes electroniques ont ete realisees au standard vxi (vme bus extension for instrumentation). Ceci permet de gerer les 495 detecteurs a travers 4300 parametres ajustables par logiciel. L'architecture du systeme d'acquisition est distribuee sur un reseau ethernet. Le logiciel pour le reglage et les essais des cartes vxi a ete ecrit en c. Il utilise le noyau temps reel vworks (wind river systemes) etroitement couple a l'environnement unix des stations sun utilisees. Les communications entre taches utilisent les procedures des remote procedure calls. Les couches internes du logiciel sont associees a une base de donnees et a un interface graphique qui permettent aux ingenieurs un reglage particulierement facile de l'ensemble des parametres du dispositif
APA, Harvard, Vancouver, ISO, and other styles
26

Telandro, Vincent. "Conception d'un système d'alimentation intégré dédié à la sécurisation des cartes à puce." Phd thesis, Université de Provence - Aix-Marseille I, 2007. http://tel.archives-ouvertes.fr/tel-00268363.

Full text
Abstract:
Le courant d'alimentation d'une carte à puce présente des corrélations significatives avec les données traitées par son microcontrôleur. Les techniques de cryptanalyse dites « par analyse en courant » exploitent ces corrélations pour déterminer les clés secrètes des cryptosystèmes embarqués. Cette étude traite de la conception d'un système d'alimentation sur puce destiné à protéger les microcontrôleurs encartables contre les attaques par analyse en courant. Le nouveau système proposé permet de réguler la tension d'alimentation interne du microcontrôleur à partir de la tension d'alimentation externe fournie par le lecteur, tout en décorrélant le courant d'alimentation externe du courant d'alimentation interne. Sa surface et son rendement respectent les contraintes imposées par le support. De plus, son architecture inclut un nouveau générateur d'horloge aléatoire basé, entre autres, sur un attracteur chaotique de type « double-scroll ». Le système a été simulé avec Eldo et les paramètres MM9 d'un procédé CMOS 0.18 µm standard de la société STMicroelectronics; les résultats des simulations témoignent de son efficacité. Par ailleurs, l'oscillateur chaotique a été fabriqué suivant le procédé CMOS 0.35 µm 2P/4M du fondeur AMS; les mesures expérimentales confirment les résultats théoriques.
APA, Harvard, Vancouver, ISO, and other styles
27

Telandro, Vincent. "Conception d'un système d'alimentation intégré dédié à la sécurisation des cartes à puce /." Paris : Éd. Edilivre, 2008. http://catalogue.bnf.fr/ark:/12148/cb41273743b.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Geynet, Lionel. "Conception de circuits de synthèse de fréquence fractionnaire multi-standards sur technologie SOI." Nice, 2006. http://www.theses.fr/2006NICE4089.

Full text
Abstract:
L’objectif de cette thèse est de démontrer l’intérêt et l’apport de la technologie SOI 130nm pour des applications de synthèse de fréquence multi-standards. Il s’agit de couvrir les cinq principaux standards de télécommunication, à savoir le GSM, GPS, DCS, Bluetooth, et WLAN, avec un seul générateur de fréquence. L’oscillateur contrôlé en tension (OCT) est l’élément critique des boucles à verrouillage de phase. Ses performances en terme de variation de fréquence, de bruit de phase et de réjection de tension d ‘alimentation sont déterminantes pour une bonne synthèse de fréquence. L’approche de ce travail a été de type « bottom up ». Tout d’abord, des oscillateurs simple bande à des fréquences de 3. 6GHz et 5. 7GHz ont été réalisés et mesurés afin de démontrer l’apport du SOI pour ce type de circuit radio-fréquence. Les résonateurs commutés ont ensuite été caractérisés pour être finalement intégrés dans des oscillateurs contrôlé en tension multi-bande permettant de couvrir tous les standards ciblés. La réalisation d’OCT n’a de sens que s’ils sont ensuite intégrés dans une synthèse de fréquence complète. Une modélisation complète de ces oscillateurs et de la boucle à verrouillage de phase a donc été réalisée en utilisant Matlab et ADMS de façon à valider cette architecture multi-standards. Une synthèse de fréquence fractionnaire est apparue la plus adaptée à cette application. Cette boucle à verrouillage de phase fractionnaire a finalement été implémentée afin de démontrer la fonctionnalité de cette fonction et l’apport capital du SOI dans ce type d’application radiofréquence
The purpose of this study is to demonstrate the interest of the 130nm SOI technology for multi-standard synthesizer used for wireless applications. The most commonly standards found in telecommunication have to be covered by this architecture with only one VCO, GSM, GPS, DCS, Bluetooth, WLAN. The VCO is the critical building block in the frequency synthesizer. Its performance, in terms of phase noise, tuning range or supply voltage rejection are very important in order to realise a good phase locked loop (PLL). A “bottom-up” methodology was used to investigate this subject. Our approach was to design two VCOs, one at 5. 2GHz and another one at 3. 6GHz, to characterize phase noise performance and the influence of body bias. Different switched LC tanks have been realised in the purpose of being integrated in multi-band VCOs. The fabrication of VCO has a real interest only if it’s introduced in a PLL. The second part of this work was therefore to create a model of these VCOs and realise a complete behavioural modelling of a multi-standard PLL using Matlab and VHDL_AMS. The fractional-N PLL which has lots of advantages for these applications, was the chosen architecture. This circuit has been implemented in order to validate the functionality and the great interest of SOI technology for multi-standard wireless applications
APA, Harvard, Vancouver, ISO, and other styles
29

Tounsi, Patrick. "Méthodologie de la conception thermique des circuits électroniques hybrides et problèmes connexes." Toulouse, INSA, 1992. http://www.theses.fr/1992ISAT0039.

Full text
Abstract:
Nous presentons dans ce memoire des outils theoriques et leur mise en uvre informatique pour permettre le calcul des echauffements transitoires ou statiques dus a un ecoulement tridimensionnel de la chaleur par conduction a travers un empilement multi-couche plan. Ce calcul est destine a la simulation thermique des circuits electroniques presentant cette configuration et particulierement des circuits hybrides de puissance. Une methodologie de conception thermique des composants de haute compacite et des circuits hybrides de puissance est proposee. Celle-ci permet une analyse thermique fine: comportement thermique du substrat; son choix. Puis optimisation du placement des composants. Un banc de mesure des echauffements transitoires par thermometrie infra-rouge a ete monte pour valider les outils de calcul developpes. D'autre part, nous proposons une possibilite d'exporter vers un simulateur electrique les resultats du calcul du comportement thermique sous forme de reseaux rc pour effectuer une simulation electro-thermique
APA, Harvard, Vancouver, ISO, and other styles
30

Siarry, Patrick. "La méthode du recuit simulé : application à la conception de circuits électroniques." Paris 6, 1986. http://www.theses.fr/1986PA066433.

Full text
Abstract:
Présentation des principales approches théoriques de l'algorithme et de ses applications. Application de la méthode dans le domaine de la conception assistée par ordinateur des circuits électroniques. Les résultats présentés pour le placement des circuits hybrides démontrent que l'algorithme est particulièrement efficace dans tous les cas où la technologie impose des contraintes complexes et évolutives.
APA, Harvard, Vancouver, ISO, and other styles
31

Guerin, Mathieu. "Conception de circuits électroniques au moyen de la technologie CMOS organique imprimée." Thesis, Aix-Marseille, 2013. http://www.theses.fr/2013AIXM4780/document.

Full text
Abstract:
L’électronique organique connaît depuis ces dernières années un fort développement. Le CEA LITEN dispose d’une technologie d’impression par sérigraphie de transistors de type N et de type P sur une même plaque, permettant de créer des circuits complémentaires. Les performances et les limitations de cette technologie ont été étudiées, l’un des objectifs principaux de cette thèse étant de réaliser une étude concernant la faisabilité d’une étiquette RFID entièrement réalisée en technologie organique imprimée. Une telle étiquette, en plus d’être flexible, possèderait un coût de fabrication extrêmement bas.Des blocs entiers couramment utilisés dans les circuits RFID et comportant jusqu’à 50 transistors sont conçus et testés, montrant des performances supérieures ou au niveau de l’état de l’art dans ce domaine. La technologie organique imprimée n’étant pas aussi mature que celle utilisée dans la filière semi-conductrice classique, une étude est également menée concernant les effets de la dispersion du procédé de fabrication et du vieillissement sur les performances des circuits. Des pistes seront ainsi définies sur les paramètres (fiabilité, mobilité dans les semi-conducteurs organiques, taille des dispositifs…) à améliorer pour permettre à l’électronique organique de venir concurrencer l’industrie du silicium
During the past few years, the field of organic electronics has known an important development. The CEA LITEN is able to manufacture N-type and P-type screen-printed transistors on a same plastic sheet, enabling the design of complementary circuits. The performances and limitations of this technology are studied since one of this thesis’ main objectives is to determine the feasibility of a fully-printed organic RFID tag. Such a tag would be flexible and could be manufactured at an extremely low-cost. Some circuits commonly used in the RFID tags, and using up to 50 transistors, are designed and tested, showing some performances equivalent or above the reported latest developments. The organic electronics manufacturing process is not as mature as the one used in the classical silicon industry. Therefore, a study is performed concerning the effects of this process scattering, as well as the ageing, on the circuits’ performances. The main improvements (in terms of reliability, organic semi-conductor mobility, size) that can help the organic electronics in order to compete, one day, with the silicon industry, are discussed
APA, Harvard, Vancouver, ISO, and other styles
32

Lacrampe, N. "Méthodologie de modélisation et de caractérisation de l'immunité des cartes électroniques vis-à-vis des décharges électrostatiques (ESD)." Phd thesis, INSA de Toulouse, 2008. http://tel.archives-ouvertes.fr/tel-00283105.

Full text
Abstract:
Grâce à l'augmentation continue des performances des circuits intégrés, l'électronique s'est largement développée dans la plupart des secteurs d'activité et tout particulièrement dans les systèmes embarqués. Ces systèmes doivent répondre à des contraintes de fiabilité sévères pour résister à des agressions issues de phénomènes transitoires variés, comme les décharges électrostatiques (ESD). À l'heure actuelle, l'impact de ces agressions sur le taux de retours clients des circuits intégrés est de 40 à 50 %. Pour améliorer l'immunité du système, et réduire ainsi les coûts de production et de suivi des produits, il devient nécessaire de prendre en compte ces perturbations dès la conception et d'avoir une approche globale de protection. Dans le cadre de ces travaux de thèse, nous avons développé une méthodologie de simulation, des modèles et les techniques de caractérisation associées afin d'évaluer l'impact d'un stress ESD en tous points d'une carte électronique en fonction des caractéristiques de chaque composant et du placement/routage. L'approche de modèlisation choisie s'appuie sur les outils informatiques de conception fonctionnelle des circuits et cartes et utilise le langage VHDL-AMS dont la certification IEEE en fait un standard industriel. Pour la caractérisation, l'originalité concerne l'utilisation d'un banc de test en impulsions de type Very Fast-TLP, couplé à différentes méthodes d'injection, qui permet à la fois, l'extraction des paramètres pour les modèles et d'observer la réponse du circuit intégré agressé sur la carte. Le résultat majeur de cette étude est la possibilité de simuler la réponse d'une carte électronique à une agression ESD (ex : ESD de type IEC) depuis son impact jusqu'au niveau de toute entrée/sortie des composants de la carte. L'approche est validée sur un circuit test simple mais aussi sur une application plus complexe à base d'un microcontrôleur. Elle permet de s'assurer que chaque composant est adéquat en termes de robustesse et de détecter des couplages indésirés.
APA, Harvard, Vancouver, ISO, and other styles
33

Lacrampe, Nicolas. "Méthodologie de modélisation et de caractérisation de l’immunité des cartes électroniques vis-à-vis des décharges électrostatiques (ESD)." Toulouse, INSA, 2008. http://eprint.insa-toulouse.fr/archive/00000221/.

Full text
Abstract:
Grâce à l'augmentation continue des performances des circuits intégrés, l'électronique s'est largement développée dans la plupart des secteurs d'activité et tout particulièrement dans les systèmes embarqués. Ces systèmes doivent répondre à des contraintes de fiabilité sévères pour résister à des agressions issues de phénomènes transitoires variés, comme les décharges électrostatiques (ESD). À l’heure actuelle, l’impact de ces agressions sur le taux de retours clients des circuits intégrés est de 40 à 50 %. Pour améliorer l'immunité du système, et réduire ainsi les coûts de production et de suivi des produits, il devient nécessaire de prendre en compte ces perturbations dès la conception et d’avoir une approche globale de protection. Dans le cadre de ces travaux de thèse, nous avons développé une méthodologie de simulation, des modèles et les techniques de caractérisation associées afin d’évaluer l’impact d’un stress ESD en tous points d’une carte électronique en fonction des caractéristiques de chaque composant et du placement/routage. L’approche de modèlisation choisie s’appuie sur les outils informatiques de conception fonctionnelle des circuits et cartes et utilise le langage VHDL-AMS dont la certification IEEE en fait un standard industriel. Pour la caractérisation, l’originalité concerne l’utilisation d’un banc de test en impulsions de type Very Fast-TLP, couplé à différentes méthodes d’injection, qui permet à la fois, l’extraction des paramètres pour les modèles et d’observer la réponse du circuit intégré agressé sur la carte. Le résultat majeur de cette étude est la possibilité de simuler la réponse d’une carte électronique à une agression ESD (ex : ESD de type IEC) depuis son impact jusqu’au niveau de toute entrée/sortie des composants de la carte. L’approche est validée sur un circuit test simple mais aussi sur une application plus complexe à base d’un microcontrôleur. Elle permet de s’assurer que chaque composant est adéquat en termes de robustesse et de détecter des couplages indésirés
Thanks to the continuous increase of the integrated circuits performance, electronics has greatly expanded in most sectors and particularly in embedded systems. These systems must meet the strong reliability constraints to withstand stresses from transient events as varied as electrostatic discharge (ESD). At present, the impact of these stresses on the return customers rate of integrated circuits is 40 to 50%. To improve the immunity system, and thus reduce production costs and tracking system, it becomes necessary to take into account these disturbances as soon as the conception and to have a comprehensive protection approach. Within these works of thesis, we have developed a simulation methodology, models and characterization techniques associated in order to estimate the impact of an ESD stress at all points of an electronic board depending on the characteristics of each component and placement / routing. The approach of modeling chosen is based on the functional circuit tools design of circuits and boards, and uses VHDL-AMS which the IEEE certification makes it an industry standard. For the characterization, the originality concerns the using of a pulsing test bench like Very Fast-TLP, coupled with various injection methods, which allows both the parameters extraction for the models and to observe the IC stressed response on the board. The major result of this study is the ability to simulate the electronic board response to an ESD stress (eg IEC ESD stress) since its impact to any input / output components pins of the board. The approach is validated through a simple circuit test, but also on a more complex application based on a microcontroller. It enables to ensure that each component is adequate in terms of robustness and to detect unwanted couplings
APA, Harvard, Vancouver, ISO, and other styles
34

Guédon-Gracia, Alexandrine. "Contribution à la conception thermo-mécanique optimisée d'assemblages sans plomb." Bordeaux 1, 2005. http://www.theses.fr/2005BOR12971.

Full text
Abstract:
Cette thèse s'inscrit dans le contexte de la directive européenne RoHS (Restriction Of use of certain Hazardous Substances) bannissant le plomb et d'autres substances dangereuses des équipements électroniques. Après avoir effectué un état de l'art sur les impacts économiques et technologiques que va entraîner le passage au brasage sans plomb, différents travaux sont menés en collaboration avec des industriels dans le cadre du projet PREDIT intitulé CEPIA, du projet européen HIRONDELLE et dans le cadre d'un partenariat avec EDF. Ces études réalisées sur différent types d'assemblage (assemblage BGA sans plomb, thyristor. . . ) ont pour but de développer des méthodologies permettant d'améliorer les modèles de simulation par éléments finis et de modéliser le vieillissement des joints brasés.
APA, Harvard, Vancouver, ISO, and other styles
35

Baudry, David. "Conception, validation et exploitation d'un dispositif de champs électromagnétiques proches. Application CEM." Rouen, 2005. http://www.theses.fr/2005ROUES016.

Full text
Abstract:
Le travail présenté dans cette thèse concerne la conception, le développement et la validation d'un banc de mesure proche utilisé comme outil de diagnostic et d'investigation dans les problèmes de compatibilité électromagnétique (CEM). Ce banc de mesure est basé sur une méthode de mesure directe utilisant des sondes électroniques : une sonde coaxiale, un dipôle et une boucle filaire. En s'appuyant sur des simulations électromagnétiques, le banc de mesure développé ainsi que les ondes utilisées sont étudiés et validés sur des cas tests composés de circuits passifs planaires. Différentes applications du banc de mesure sont présentées : étude de fonctions hyperfréquences et mesure de paramètres S internes au circuit, isolation des sources de rayonnements électromagnétiques, étude des phénomènes de couplage, caractérisation de blindage de câbles, mesure des émissions conduites de composants, mesure et modélisation des émissions rayonnées de composants
The work presented in this thesis involves the design, the development and the validation of a near field measurement bench that is used as a tool for diagnosis and investigation in the problems of electromagnetic compatibility (ECM). This measurement bench is based on a direct measurement method using electronic probes : a coaxial probe, a dipole and a wire loop. While being based on electromagnetic simulations, the developed measurement bench as well as the used probes are studied and validated on cases tests made up of planar passive circuits. Various applications of the measurement bench are presented : study of microwaves functions and measurement of S circuit internal parameters, insulation of the electromagnetic radiation sources, study of the coupling phenomena, characterization of cables shielding, measurement of the components led emissions, measurement and modelling of the components radiated emissions
APA, Harvard, Vancouver, ISO, and other styles
36

Kamenova, Lora. "Modélisation thermo-hydraulique de caloducs miniatures plats à faible épaisseur pour des applications électroniques." Grenoble INPG, 2007. http://www.theses.fr/2007INPG0186.

Full text
Abstract:
Parmi les solutions de refroidissement des composants électroniques, les caloducs miniatures permettent de transférer la chaleur passivement, en utilisant le principe de changement de phase d'un fluide caloporteur. Cette thèse est consacrée à l'étude des caloducs de forme plate, composés d'un réseau capillaire à poudre frittée et utilisés comme fonctions thermiques dans des substrats électroniques à haute densité. Grâce au couplage de nos modèles thermiques et hydrauliques, nous avons pu prédire les performances de ces dispositifs en terme de puissance maximale dissipable et de température. Les tests expérimentaux réalisés sur différents prototypes nous ont montré que ce type de caloducs était capable de diminuer la température des composants électroniques de plusieurs dizaines de °C. Les modèles développés ont été validés par les résultats de tests expérimentaux, avec un écart moyen de 20%. L'ensemble de ces travaux, nous a permis de mettre au point la technologie innovante DBC pour la fabrication des caloducs fins
A miniature heat pipe is a passive heat transfer mechanism that can transport large quantities of heat with a very small difference in temperature between the hottest and coldest interfaces in electronics. The objective of this thesis is to study the performances of flat heat pipes with sintered powder capillary wick, employed as thermal functions in high density electronics. Thanks to the coupling of our thermal and hydraulic models, we were able to predict the heat pipe performances in terms of maximum heat power and temperature distribution. The experiments demonstrated that the heat pipes are able to decrease the electronics temperature with several tens of °C. The tests results were in very good conformity to our models, with an average gap of 20% only. The innovative technology DBC for fabricating thin copper heat pipes was also developed in his work
APA, Harvard, Vancouver, ISO, and other styles
37

Trane, Patrick. "Conception et réalisation d'un système de contrôle d'accès pour la carte à micro-processeur." Lille 1, 1995. http://www.theses.fr/1995LIL10082.

Full text
Abstract:
Le but de cette thèse est de réussir à créer un environnement de conception et de réalisation d'un modèle de sécurité global d'un système d'informations. Après une première analyse des différents paradigmes mis en évidence sur différents systèmes informatiques (systèmes d'exploitation, S. G. B. D. , carte à microprocesseur), il est apparu que tous présentaient certaines faiblesses. D'une part le manque de capacité d'expression du système qui ne prend en compte que quelques opérations de base. La plupart du temps, ce manque impose la présence d'un super-utilisateur qui peut corriger le schéma selon les circonstances. D'autre part, l'éclatement du schéma selon les différentes entités à protéger ne permet pas une complète et facilement malléable description et a fortiori la preuve du schéma. Pour répondre à tous ces commentaires, nous avons pensé à une manière de résoudre ces problèmes. Nous avons construit un langage qui pourrait permettre à un utilisateur de décrire un schéma de sécurité dans le cas particulier d'un système d'exploitation. Nous nous efforcerons à ce propos d'obtenir un schéma de fine granularité en insistant fortement sur la possibilité de résoudre une partie de la conception de ce schéma par respect de règles d'intégrité. Nous avons mis en place un outil afin de tester et de valider ce langage sur un exemple se référant à un système d'exploitation d'une carte à microprocesseur que nous décrirons. L'adéquation du travail dans le milieu restreint de la carte à microprocesseur sera alors traité.
APA, Harvard, Vancouver, ISO, and other styles
38

Pélissier, Gilles. "Méthodologies de conception conjointe logicielle/matérielle." Montpellier 2, 2002. http://www.theses.fr/2002MON20055.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Emam, Hamed. "Modélisation dynamique et conception des systèmes électroniques de commande de fauteuil roulant électronique." Versailles-St Quentin en Yvelines, 2010. http://www.theses.fr/2010VERS0001.

Full text
Abstract:
Cette thèse abord la problématique de la conduite de fauteuil roulant électrique par des personnes en situation de handicap. Il s’agit de favoriser l’usage de cette aide technique en étudiant les étages de commande. L’apport de ce travail de thèse autour de la commande d’un fauteuil roulant électrique se situe à deux niveaux : le premier porte sur la définition d’un modèle dynamique et le second sur une assistance à la navigation. Le modèle dynamique développé dans ce travail permet de prendre en compte les effets de glissement qui accompagne inévitablement un déplacement du fauteuil roulant. Ces glissements apparaissent à la fois de façon latérale et longitudinale. Le modèle global résultant est une composition de modèles spécifiques qui portent sur la structure, les roues et les contacts roues/sols. La performance de ce modèle est évaluée à partir de tests en simulation et en expérimentation. La partie assistance à la conduite correspond en fait à la définition d’un modèle de comportement du conducteur du fauteuil roulant. Il est basé sur l’étude des forces d’interaction entre le conducteur et son but de navigation sous les contraintes liées à l’environnement. Ce modèle décrit les accélérations et décélérations du conducteur associées au déplacement du fauteuil. Les premiers résultats de cette modélisation du comportement de la personne sont présentés sur la base de simulation de scénario de conduite
Although the great modification that the authors had made on their electric power wheelchair, their prototypes suffer from two predominant deficiencies, one concerning dynamic modeling and the other is concerning the navigation assistance. The main goals of this thesis are to focus on these problems. In this thesis a dynamic model for power wheelchairs including longitudinal and lateral slip effect that takes place while driving under non-normal conditions is presented. The system model developed consists of three main parts: body model, wheel model, and tire model. All these parts will be derived separately and will be in integrated into one global model. The effectiveness of the proposed method is verified by the simulation and the practical experiments. Also, the driver behaviour model is presented. The proposed behaviour model is based on the generalized force. In the proposed model, we assume that the driver when drives wheelchair to a certain target in this trajectory is subjected many forces, the summation of these forces act upon a driver cause it to accelerate or decelerate and change this direction continually while moving. The simulation results show that the proposed model shows realistic behaviour for the investigated scenarios
APA, Harvard, Vancouver, ISO, and other styles
40

Nguyen, Minh Huu. "Sécurisation de processeurs vis-à-vis des attaques par faute et par analyse de la consommation." Paris 6, 2011. http://www.theses.fr/2011PA066671.

Full text
Abstract:
Les composants de sécurité, parce qu’ils contiennent des informations confidentielles, font l’objet d’attaques. Celles-ci tentent généralement de porter atteinte à la confidentialité, à l’intégrité ou à l’authenticité des données protégées par les algorithmes de cryptographie embarqués sur ces composants. Les attaques dites "matérielles" ou "physiques" exploitent les faiblesses de l’implantation matérielle de ces algorithmes. Trois types d’attaques ont été répertoriés. Les premières, appelées attaques SCA (pour "Side Channel Analysis"), utilisent le fait que la consommation, le rayonnement électromagnétique ou le temps de réponse d’une puce est fonction des données qu’elle manipule. Le second type d’attaques, appelées attaques en faute, consiste à modifier l’environnement de la puce de telle sorte que le fonctionnement de celle-ci s’en trouve altéré. Le dernier type d’attaques, plus difficile à mettre en œuvre, consiste à analyser la conception de la puce à l’aide de procédés invasifs puis à sonder les signaux sur lesquels transitent les informations secrètes. C’est dans ce contexte que nous avons orienté nos travaux de recherche vers le développement et l’évaluation de nouvelles protections vis-à-vis des attaques SCA et en faute. Les protections proposées dans le cadre de cette thèse sont principalement destinées aux microprocesseurs tels que ceux qui sont embarqués dans des cartes à puces
APA, Harvard, Vancouver, ISO, and other styles
41

Lacroix, Benjamin. "Conception de capacités commutées MEMS RF miniatures et application à la reconfiguration rapide de déphaseurs." Limoges, 2008. http://aurore.unilim.fr/theses/nxfile/default/b678119d-e358-4869-ad08-cbb4b8a3c75a/blobholder:0/2008LIMO4020.pdf.

Full text
Abstract:
Ce travail présente la conception de capacités commutées MEMS RF miniatures rapides et leur application à des déphaseurs à base de lignes distribuées. Le but est d'accroître la vitesse de commutation d'une structure mécanique dont les temps de commutation sont aujourd'hui rarement inférieurs à la microseconde. Pour cela, une géométrie originale est étudiée et les dimensions géométriques de la poutre micromécanique sont optimisées. Des mesures mécaniques et hyperfréquences ont également été réalisées afin de valider les résultats théoriques et de caractériser les composants ainsi fabriqués. Ces capacités commutées MEMS RF miniatures ont ensuite été implémentées sur une ligne distribuée afin de réaliser des opérations de déphasage rapide à base de systèmes micromécaniques. Les mesures de temps de commutation ainsi que les coefficients de réflexion et les pertes d'insertion du dispositif sont également présentées
This work presents design of fast miniature RF MEMS switched capacitors and their application to Distributed MEMS Transmission Line phase shifters. The goal is to increase the switching speed since it is currently rarely under the microsecond. Thus, an original geometry has been studied and geometrical dimensions of the micromechanical beam have been optimized. Mechanical and RF measurements have also been done to validate theoretical results and to characterize the fabricated components. These miniature RF MEMS switched capacitors have been implemented on distributed lines to achieve fast phase shifting operations based on micromechanical systems. Switching time, return loss and insertion loss measurements are also presented
APA, Harvard, Vancouver, ISO, and other styles
42

Rouault, Gwenaël. "Conception d'un composant de puissance à grille isolée I. G. B. T. : Etude statique et dynamique." Ecully, Ecole centrale de Lyon, 1988. http://www.theses.fr/1988ECDLA019.

Full text
Abstract:
Etude et realisation de transistors igbt qui integrent sur une meme puce un transistor vdmos et un bipolaire afin d'ameliorer la densite de courant en conduction. Les premiers igbt ont ete realises a l'aide du procede de fabrication des vdmos en ne changeant que la nature de la plaquette. Ensuite un procede de fabrication propre aux igbt a ete mis au point a la suite des resultats de caracterisation des premiers prototypes en definissant de nouvelles regles de conception de la structure cellulaire
APA, Harvard, Vancouver, ISO, and other styles
43

El, Bouchtioui Lamia. "Le cadre réglementaire des déchets d'équipements électriques et électroniques : de la conception à la fin de vie des équipements électriques et électroniques." Electronic Thesis or Diss., Bordeaux, 2023. http://www.theses.fr/2023BORD0491.

Full text
Abstract:
Les déchets d’équipements électriques et électroniques (D.E.E.E.) sont un défi pour l’ensemble de la société dans la mesure où leur gestion est devenue très rapidement un enjeu à la fois économique, politique, social, environnemental et sanitaire. Ainsi, ils représentent un poids dont cherchent à se débarrasser à moindre coût les pays développés. Un trafic international a vu le jour autour de leur exportation vers des pays en développement ou émergents principalement marqués par des faiblesses juridiques en matière environnementale. Plusieurs textes internationaux et régionaux viennent limiter les mouvements transfrontaliers des déchets afin d’empêcher les méthodes économiquement profitables d’envoi de déchets dangereux. Au sein de l’Union européenne, une règlementation spécifique vise à encadrer la thématique des D.E.E.E. Elle préconise une gestion durable des D.E.E.E. afin de protéger et d’améliorer la qualité de l’environnement. Elle prévoit de contribuer à une production et consommation durable, en priorité, par la prévention des D.E.E.E. et, en outre, par le réemploi et le recyclage des matières de manière à réduire la quantité de déchets à éliminer. Les modifications récentes en droit européen mettent en exergue la volonté d’une transition vers une économie circulaire. Néanmoins, il apparaît encore quelques difficultés dans l’atteinte des objectifs fixés. Ces travaux se proposent d’aborder la manière dont le droit en vigueur aujourd’hui intègre une approche globale du sujet en tenant compte de l’ensemble du cycle de vie des D.E.E.E. conciliant les objectifs environnementaux et économiques. Cette étude permet de mettre en avant les avancées mais aussi les difficultés persistantes que la société doit confronter
Waste electrical and electronic equipment (W.E.E.E.) is a challenge for society as a whole, as their management has quickly become an issue that is at once economic, political, social, environmental and health. Thus, they represent a burden that developed countries seek to get rid of at a lower cost. International traffic has emerged around their export to developing or emerging countries mainly marked by legal weaknesses in environmental matters. Several international and regional texts limit the transboundary movement of waste in order to prevent economically efficient methods of sending hazardous waste. Within the European Union, a specific regulation aims to frame the theme of W.E.E.E. It advocates sustainable management of W.E.E.E. to protect and improve the quality of the environment. It aims to contribute to sustainable production and consumption, as a priority, through the prevention of W.E.E.E. and, in addition, through the reuse and recycling of materials in order to reduce the amount of waste to be disposed of. Recent changes in European law highlight the desire for a transition to a circular economy. Nevertheless, there are still some difficulties in achieving the objectives set. This work aims to address the way in which the law in force today incorporates a global approach to the subject taking into account the entire life cycle of the W.E.E.E. reconciling environmental and economic objectives. This study highlights the advances but also the persistent difficulties that society must confront
APA, Harvard, Vancouver, ISO, and other styles
44

Ouchelouche, Larbi. "Conception et réalisation d'un adaptateur électronique microonde programmable pour mesures de bruit sous pointes." Limoges, 1993. http://www.theses.fr/1993LIMO0187.

Full text
Abstract:
Ce travail a pour objectif la conception et la realisation d'un adaptateur electronique programmable miniature destine aux mesures sous pointes de facteurs de bruit d'elements ou de circuits actifs microondes par la methode dite des impedances multiples. La topologie de cet adaptateur est basee sur le principe de commutation de stubs au moyen de diodes p. I. N. Une analyse comparative du bruit thermique et du bruit de grenaille a permis de minimiser ce dernier et d'aboutir ainsi a une configuration optimale de l'adaptateur. Cette etude s'est concretisee par la realisation d'un adaptateur electronique et d'une alimentation programmable pour polariser les diodes. Afin de valider notre systeme, des mesures de facteur de bruit d'un tec en puce et d'un amplificateur en technologie mmic disponibles a l'ircom ont ete menees a bien a l'aide d'une station de test sous pointes
APA, Harvard, Vancouver, ISO, and other styles
45

Poublan-Attas, Valérie. "L'espace urbain déformé : transports collectifs et cartes mentales." Phd thesis, Ecole Nationale des Ponts et Chaussées, 1998. http://tel.archives-ouvertes.fr/tel-00180195.

Full text
Abstract:
L'intérêt pour les transports collectifs ne cesse de croître avec la prise de conscience des problèmes engendrés par le «tout automobile». Dans ce contexte, les transports en commun en site propre, et particulièrement les tramways, reconquièrent les villes. Toutes ces nouvelles orientations représentent des sommes d'argent considérables, c'est pourquoi les questions de conception et d'évaluation des projets de transport public sont cruciaux.
Cette thèse développe une méthode qualitative d'aide à la conception et à l'évaluation des réseaux de transports collectifs et s'intéresse, plus spécifiquement aux lignes de tramway. La méthode constitue aussi un outil puissant de pondération des outils quantitatifs.
Toute personne possède une représentation mentale de l'espace urbain qu'elle parcourt réellement ou virtuellement. Les réseaux de transport collectif influencent les cartes mentales de l'espace urbain. Ils contraignent la perception que les gens ont de la ville à travers leurs itinéraires mais aussi modifient les distances entre les points, créant un espace temps spécifique. Cependant, les cartes mentales, tels des instruments de navigation, agissent par activations sélectives ou abandon de certaines branches du réseau. C'est pourquoi l'étude des représentations mentales, de leur capacité à intégrer des éléments nouveaux comme une nouvelle ligne de transport public est capitale.
Cette recherche étudie les éléments constitutifs des cartes mentales puis la manière dont celles-ci évoluent avec la mise en service d'une nouvelle ligne de transport collectif. Elle s'intéresse aussi à la taille, à la localisation des territoires d'appartenance des individus et à leurs évolutions, question essentielle pour désenclaver les quartiers en difficulté.
Les enseignements cernent les éléments du transport collectif qui déterminent fortement les représentations mentales. La topologie de celles-ci, les seuils de perception sont analysés en particulier et partiellement expliqués. Enfin, les cartes mentales peuvent se regrouper par types selon leur capacité à intégrer des éléments nouveaux. Chaque type correspond à un profil d'individu. Cette conclusion est capitale car elle permet, par l'utilisation de la méthode, d'évaluer dans quelle mesure les représentations mentales des individus, concernés par un projet de transport collectif, sont enclines à intégrer la future ligne ou non.
Les résultats de cette recherche, ainsi que la méthode développée, sont autant d'enseignements précieux pour un aménageur ou, de manière générale, un décideur en matière d'urbanisme et de transport.
APA, Harvard, Vancouver, ISO, and other styles
46

Hély, David. "Conception en vue du test de circuits sécurisés." Montpellier 2, 2005. http://www.theses.fr/2005MON20123.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Maréchal, Catherine. "Etude de l'influence de la technologie et de l'association de composants logiques sur la sensibilité électromagnétique de cartes électroniques : Application à l'étude d'une fonction dont la sécurité est fondée sur la redondance matérielle." Lille 1, 1994. http://www.theses.fr/1994LIL10109.

Full text
Abstract:
Nous etudions l'influence de la technologie et de l'association des composants logiques sur la sensibilite electromagnetique des cartes electroniques. Dans une premiere partie, nous analysons le comportement d'un dispositif sous test simple vis-a-vis d'une perturbation electromagnetique de mode rayonne. Ce dispositif sous test est constitue de deux portes inverseuses connectees en serie par une ligne de transmission couplee a l'onde electromagnetique. Un outil statistique est developpe afin de caracteriser les defauts statiques qui apparaissent en sortie sur les etats logiques pour des perturbations de forte amplitude. Nous utilisons egalement une methode de mesure visualisant l'excursion du signal perturbe selon l'amplitude du champ electrique. Apres avoir etudie l'association de differentes familles d'une part de la technologie cmos (hc et hcu) et d'autre part de la technologie ttl (ls, als, as, f et s), nous analysons la propagation des perturbations le long d'une chaine de composants logiques. Nous utilisons le logiciel pspice afin de simuler les signaux induits sur la ligne de transmission. Dans une deuxieme partie, nous appliquons nos resultats experimentaux afin de tenter d'ameliorer la securite de systemes redondants soumis a une perturbation electromagnetique. Pour ce faire, nous etudions la sensibilite electromagnetique d'une fonction de securite effectivement implantee sur un systeme de transport. La securite de la carte est fondee sur une redondance materielle: une des voies de traitement de l'information emploi la technologie cmos et l'autre voie la technologie ttl.
APA, Harvard, Vancouver, ISO, and other styles
48

Peyrol, Eric. "Gestion d'un atelier de fabrication de composants électroniques." Toulouse, INPT, 1992. http://www.theses.fr/1992INPT001G.

Full text
Abstract:
Cette etude est issue d'une premiere convention entre le laboratoire de genie chimique (ura cnrs 192) de l'ecole superieure de genie chimique et la societe motorola toulouse. L'auteur presente un programme de simulation d'un atelier de fabrication de composants electroniques, tenant compte des contraintes inherentes aux produits, aux operateurs et enfin aux equipements. Des etudes bibliographiques recentes ont permis de constater l'interet croissant porte a la gestion de production d'ateliers discontinus et en particulier au probleme d'ordonnancement qui reste le point le plus delicat a apprehender pour la conduite efficace de tels ateliers. Une approche rigoureuse fondee sur la programmation mathematique devenant prohibitive, nous avons retenu une procedure de simulation a evenements discrets. Le probleme du lancement des produits dans l'atelier, qui est une des fonctions essentielles de tout systeme, a ete resolu en utilisant et en adaptant la methode d'optimisation du recuit simule. L'efficacite et les performances du logiciel resultant sont illustrees au travers d'exemples academique et industriel
APA, Harvard, Vancouver, ISO, and other styles
49

Barets, Anne-Claire. "Informatisation et télétransmission des feuilles de soins électroniques en médecine générale." Bordeaux 2, 1999. http://www.theses.fr/1999BOR2M083.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Mourad, Oumar. "Méthode de conception et d'optimisation d'éléments rayonnants de cartes à puce pour applications en RFID HF." Thesis, Nice, 2014. http://www.theses.fr/2014NICE4086.

Full text
Abstract:
La bande de fréquence HF est une bande internationale de plus en plus utilisée de par le monde pour des applications RFID (Radio Frequency IDentification). Les nombreuses applications telles que le suivi, l'emballage, le transport, et la détection ont en effet suscité un intérêt grandissant pour la RFID sans contact en champ proche à 13,56 MHz basée sur la norme de communication ISO/IEC 14443. Pour les systèmes RFID HF à faible coût, les interfaces de communication lecteurs/étiquettes utilisent des antennes boucles inductives formées par l'enroulement de fils ou de bandes conductrices. Dans cette thèse, nous nous intéressons plus particulièrement à la conception et à l’optimisation de l’antenne de l’étiquette utilisée comme ticket de transport pour fournir le maximum d’énergie au circuit intégré. Nous analysons plus particulièrement l’influence des paramètres géométriques et les matériaux de fabrication de l’élément rayonnant afin de proposer une méthodologie de conception d’antenne inductive. Pour ce faire, une modélisation circuit du système RFID HF est proposée afin d’effectuer une meilleure analyse du couplage lecteur/étiquette ainsi que des points critiques de fonctionnement. Afin de valider les différentes études effectuées, de nombreux prototypes d’antennes ont été réalisés avec différents matériaux et les résultats obtenus analysés
The HF frequency band is internationally allocated for worldwide and fastest growing band used in RFID (Radio Frequency IDentification) applications. The need for automation and advanced technologies in applications like tracking, packaging, transportation, and sensing has attracted the interest of the near-field contactless RFID at 13.56 MHz based on ISO/IEC 14443 communication standard. For low-cost RFID HF systems, communication interfaces use inductive loop antennas made up of the winding of conductive wires or strips. In this thesis, we are particularly interested in the antenna design and optimization of tags dedicated for transport to provide a maximum power to the integrated circuit. The influence of the geometric parameters and materials used for the realization of the radiating element has been particularly studied to reach to propose a methodology of inductive antennas design. To do this, a circuit model of HF RFID system has been proposed to analyze the reader / tag coupling and the different critical operating points. Several antenna prototypes were made with different materials to validate the different studies
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography