Academic literature on the topic 'Chemical-mechanical cleaning'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Chemical-mechanical cleaning.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Chemical-mechanical cleaning"

1

Liu, Chi-Wen, Bau-Tong Dai, and Ching-Fa Yeh. "Post cleaning of chemical mechanical polishing process." Applied Surface Science 92 (February 1996): 176–79. http://dx.doi.org/10.1016/0169-4332(95)00226-x.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Shang, Cass, Taishih Maw, and Fadi Coder. "Post Chemical Mechanical Polish Cleaning Chemistry for through Silicon via Process." Solid State Phenomena 195 (December 2012): 154–57. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.154.

Full text
Abstract:
In order to achieve high cleaning efficiency requirement for post Chemical Mechanical Polish (CMP) cleaning in Through Silicon Via (TSV) application due to the aggressive CMP process. More comprehensive wafer defect evaluation techniques are needed to understand the cleaning mechanisms and assist the formulation design process. In this paper, the CSX-T series chemistry is applied to the post CMP cleaning process of various wafer substrates commonly used in TSV integration schemes. The data collected by several techniques are analyzed in detail and compared to demonstrate how and when it can be used in new formulation screening process to ensure good cleaning performance.
APA, Harvard, Vancouver, ISO, and other styles
3

Zhou, Kan, Shuguang Sang, Chengyu Wang, and Yihua Zhou. "Principle, application and development trend of laser cleaning." Journal of Physics: Conference Series 2383, no. 1 (December 1, 2022): 012075. http://dx.doi.org/10.1088/1742-6596/2383/1/012075.

Full text
Abstract:
Many areas of industrial production are inseparable from cleaning technology, and traditional cleaning technology is increasingly unable to meet the requirements of modern industry. Laser cleaning technology is a new cleaning technology with high efficiency and no chemical pollution. It has the characteristics of non-contact, environmental protection, high efficiency, flexibility, energy saving and wide application range. Compared with mechanical friction cleaning, chemical corrosion cleaning, ultrasonic cleaning, dry ice cleaning and other technologies, it has obvious advantages. At present, laser cleaning technology has been widely used in the fields of aerospace body paint removal, cultural relics sample cleaning, mold and mechanical parts product cleaning, mainly used for paint treatment, rust removal, oil removal and particles. This paper discusses the development trend of laser cleaning technology compared with the traditional laser cleaning technology.
APA, Harvard, Vancouver, ISO, and other styles
4

Wang, Y. L., T. C. Wang, J. Wu, W. T. Tseng, and C. F. Lin. "A modified multi-chemical spray cleaning process for post shallow trench isolation chemical mechanical polishing cleaning application." Thin Solid Films 332, no. 1-2 (November 1998): 385–90. http://dx.doi.org/10.1016/s0040-6090(98)01207-3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Cooper, Kevin, Anand Gupta, and Stephen Beaudoin. "Simulation of Particle Adhesion: Implications in Chemical Mechanical Polishing and Post Chemical Mechanical Polishing Cleaning." Journal of The Electrochemical Society 148, no. 11 (2001): G662. http://dx.doi.org/10.1149/1.1409975.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Kim, Young-Min, Han-Chul Cho, and Hae-Do Jeong. "Effect of Chemical Mechanical Cleaning(CMC) on Particle Removal in Post-Cu CMP Cleaning." Transactions of the Korean Society of Mechanical Engineers A 33, no. 10 (October 1, 2009): 1023–28. http://dx.doi.org/10.3795/ksme-a.2009.33.10.1023.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Yang, Chan Ki, Jin Goo Park, Jung Hun Jo, Geun Sik Lim, Tae Hyung Kim, and In Soo Jo. "Removal of Slurry Residues in Tungsten Plug during Chemical Mechanical Planarization." Solid State Phenomena 124-126 (June 2007): 157–60. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.157.

Full text
Abstract:
One of key processes in tungsten (W) CMP is to remove slurry particles inside W plug after CMP. In general, HF cleaning is well known to remove the slurry residue particles in W plugs. HF chemistry lifts off the particles by etching the plug during scrubbing and effectively removes particles. It is sometimes impossible to apply HF chemisty on W plug due to the degradation of electrical characteristics of a device. In this paper, a post W CMP cleaning process is proposed to remove residue particles without applying HF chemistry. After W CMP, recessed plugs are created, therefore they easily trap slurry particles during CMP process. These particles in recessed plug are not easy to remove by brush scrubbing when NH4OH chemistry is used for the cleaning because the brush surface can not reach the recessed area of plugs. Buffing with oxide slurry was followed by W CMP due to its high selectivity to W. The buffing polishes only oxide slightly which creates higher plug profiles than surrounding oxide. Higher profiles make the brush contact much more effectively and result in a similar particle removal efficiency even in NH4OH cleaning to that in HF brush scrubbing.
APA, Harvard, Vancouver, ISO, and other styles
8

Ramachandran, Manivannan, Byoung-Jun Cho, Tae-Young Kwon, and Jin-Goo Park. "Hybrid Cleaning Technology for Enhanced Post-Cu/Low-Dielectric Constant Chemical Mechanical Planarization Cleaning Performance." Japanese Journal of Applied Physics 52, no. 5S3 (May 1, 2013): 05FC02. http://dx.doi.org/10.7567/jjap.52.05fc02.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Wei, Kuo-Hsiu, Chi-Cheng Hung, Yu-Sheng Wang, Chuan-Pu Liu, Kei-Wei Chen, and Ying-Lang Wang. "Cleaning methodology of small residue defect with surfactant in copper chemical mechanical polishing post-cleaning." Thin Solid Films 618 (November 2016): 77–80. http://dx.doi.org/10.1016/j.tsf.2016.05.007.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Suzuki, Kazunari, Ki Han, Shoichi Okano, Jyunichiro Soejima, and Yoshikazu Koike. "Application of Novel Ultrasonic Cleaning Equipment Using Waveguide mode for Post-Chemical-Mechanical-Planarization Cleaning." Japanese Journal of Applied Physics 48, no. 7 (July 21, 2009): 07GM04. http://dx.doi.org/10.1143/jjap.48.07gm04.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Chemical-mechanical cleaning"

1

Ng, Dedy. "Nanoparticles removal in post-CMP (Chemical-Mechanical Polishing) cleaning." Thesis, Texas A&M University, 2005. http://hdl.handle.net/1969.1/4159.

Full text
Abstract:
Research was performed to study the particle adhesion on the wafer surface after the chemical-mechanical polishing (CMP) process. The embedded particles can be abrasive particles from the slurry, debris from pad material, and particles of film being polished. Different methods of particle removal mechanism were investigated in order to find out the most effective technique. In post-CMP cleaning, surfactant was added in the solution. Results were compared with cleaning without surfactant and showed that cleaning was more effective with the combined interaction of the mechanical effort from the brush sweeping and the chemistry of the surfactant in the solution (i.e., tribochemical interaction). Numerical analysis was also performed to predict the particle removal rate with the addition of surfactants. The van der Waals forces present in the wafer-particle interface were calculated in order to find the energy required to remove the particle. Finally, the adhesion process was studied by modeling the van der Waals force as a function of separation distance between the particle and the surface. The successful adaptation of elasticity theory to nanoparticle-surface interaction brought insight into CMP cleaning mechanisms. The model tells us that it is not always the case that as the separation distance is decreased, the attraction force will be increased. The force value estimated can be used for slurry design and CMP process estimation.
APA, Harvard, Vancouver, ISO, and other styles
2

Frýba, Lukáš. "Analýza alternativ odstraňování PCDD/F při spalování odpadů." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2011. http://www.nusl.cz/ntk/nusl-229471.

Full text
Abstract:
The thesis is focused on analyzing the current status of the technology used for cleaning flue gas from persistent organic pollutants, especially PCDD/F. For the three most commonly used methods of solution operational and energy efficiency comparison was made, where achievable energy export and the impact of the change in working conditions on the efficiency indicators of energy production and use of the energy was evaluated. In conditions we considered an alternative machine-technological solutions used for the final disposal of persistent organic pollutants resulting from the waste combustion in terms of additional operating costs and energy efficiency.
APA, Harvard, Vancouver, ISO, and other styles
3

Palabiyik, Ibrahim. "Investigation of fluid mechanical removal in the cleaning process." Thesis, University of Birmingham, 2013. http://etheses.bham.ac.uk//id/eprint/4593/.

Full text
Abstract:
The cleaning of pipework from fluids of high viscosity is a significant problem in many food and personal care industries which can cause considerable economic and environmental impact. Three stages are identified in the cleaning of straight pipes; (i) a short core removal stage of product recovery, before water breaks through the filled pipe, (ii) the 1st cleaning stage (film removal stage) when there is a continuous wavy annular film on the wall, and (iii) the 2nd cleaning stage (patch removal stage) in which the material is present as patches on the wall. The product recovery stage is found to influence the overall cleaning process. Conducting product recovery at low temperatures and high flow rates cause the formation of a wavy wall layer which leads to more rapid subsequent removal. A two step CIP protocol is proposed to decrease the environmental impact of cleaning of a viscoelastic material (toothpaste) from pipework. Applying cold water in the 1st cleaning stage and hot water in the 2nd cleaning stage results in 40 % energy saving without affecting the cleaning performance significantly compared to traditional CIP protocols used in plants. Yield stress of deposits is the key effect on the cleaning of deposits. A new dimensionless number is explored. It is physically a ratio of flow energy to the yield stress of a deposit. It has collapsed cleaning time data onto a one curve for different deposits cleaned at different velocities. It can be used to predict cleaning times of deposits or identify the governing cleaning mechanisms in cleaning. It is found that the magnitude of pressure loss in flow can be used to quantify the flow and turbulence effect on cleaning and scale up lab scale data. The results show that although fluid mechanical removal is a complex process, cleaning time of deposits can be predicted by knowing its rheology and fluid mechanical parameters of cleaning fluid.
APA, Harvard, Vancouver, ISO, and other styles
4

Lütkenhaus, Davidson. "Engineering understanding of cleaning : effect of chemistry and mechanical forces on soil removal." Thesis, University of Birmingham, 2017. http://etheses.bham.ac.uk//id/eprint/7929/.

Full text
Abstract:
The cleaning of food-based soils is highly relevant in domestic and industrial environments due to the costs associated with these operations as well as the significant consumption of time, water, and energy. Understanding the relationship between chemical and mechanical forces required to clean a surface is a critical step towards optimizing these processes. A complex twophase polymerized grease was developed and characterized with respect to its morphology, component distribution, and chemical composition. A thorough evaluation and physicochemical characterization of the cleaning process of this complex soil from a hard substrate was performed at two different length scales. Results showed that surfactants are more effective at weakening the cohesive interactions within the soil matrix and less effective in removing the adhesive bonds at the soil-substrate interface. A statistical model for cleaning efficiency was developed which described cleaning as a function of chemical and mechanical contributions. The effect of the chemistry on hydration and final cleaning performance was investigated through a definitive model screening and correlated to the tribological forces involved in the process. The model was shown to be applicable to several industrially relevant surfactant systems and revealed that hydration is the main factor driving cleaning of this two-phase soil.
APA, Harvard, Vancouver, ISO, and other styles
5

Chen, Po-Lin, and 陳柏林. "Study on Post-Chemical-Mechanical Polishing Cleaning in the Copper Damascene Process." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/21074247798000098870.

Full text
Abstract:
碩士
國立臺北科技大學
材料及資源工程系碩士班
89
Under intensive investigation for Ultra-Large-Scale-Integration (ULSI), copper has emerged as an attractive, alternative choice for future interconnect applications owing to its low electrical resistivity and high electromigration resistance. The damascene process is regarded to be an essential and critical step for manufacturing copper interconnects, and the chemical-mechanical polishing (CMP) of copper and barrier layer metals is the key to enable this process. Unfortunately, copper CMP process leaves a large amount of contaminants on the surface, which must be eliminated. There are two major contaminants. One is the abrasive from the polishing slurry, and the other is the metallic impurity contamination on the wafer surface. In this study, a novel method for efficient removal of colloidal silica abrasives from polished copper surface was proposed and demonstrated. This post-CMP cleaning process involves buffing process with diluted HNO3/BTA aqueous solution and a PVA brush scrubbing process with wetting surfactants, Triton X-100, for copper surface passivation and colloidal silica removal. Buffing with HNO3/BTA aqueous solution was able to remove copper oxide and forming Cu(I)-BTA hydrophobic passivation. Scrubbing with Triton X-100 surfactant is to enhance wettability on Cu(I)-BTA surface for the removal of residual silica abrasives. The wetting ability of Triton X-100 was determined by a contact angle and surface tension measurement. By this cleaning process, it was demonstrated that colloidal silica abrasives could be removed efficiently without copper corrosion. One of the greatest challenges to the copper CMP cleaning process is the removal of residual copper contamination from the interlevel dielectric (ILD) surface. To meet this requirement, a PVA brush scrubbing process with non-polar metal chelator, D2EHPA, solution was introduced. The D2EHPA molecules bearing the phosphonate group are strong complexing agent towards transition metal ions. D2EHPA with metal ion in solution to form uncharged metal-chelate complexes by coordination. The effects of D2EHPA concentration and pH on copper ion chelating capability of D2EHPA were investigated by solvent extraction experiment. We have shown that scrubbing with D2EHPA is effective in removing copper contamination from the ILD surface.
APA, Harvard, Vancouver, ISO, and other styles
6

Vinod, Palathinkara S. "Effect of fluid rheology of hole cleaning in highly-deviated wells." Thesis, 1995. http://hdl.handle.net/1911/16893.

Full text
Abstract:
One of the technical challenges in deviated drilling is the transport of drill bit cuttings to the surface. The complexity arises due to the narrow settling clearance for the cuttings and the presence of a cuttings bed on the low side of the bore hole. Fluid rheology is the determining factor in the efficiency of this operation. Drilling fluid selection for possible field use is the focus of this dissertation. The problem has been treated with a two-pronged strategy: (i) macroscopic studies that involve numerical models for the prediction of effects of rheology on drilling fluid flow through deviated bore holes; and (ii) microscopic experimental studies that provide physical insights into the fluid forces and relevant rheological parameters in cuttings resuspension. The objective of this study is to propose guidelines for fluid selection and rheological characterization of drilling fluids for the industry. The numerical study demonstrates that power law index is a significant parameter in determining the local flow regime in the different regions of the annulus and hence accurate control of power law index is critical in optimizing bore hole flow. In laminar flow, the shear thinning nature of a fluid does not aid flow through the narrow regions. Turbulence in the wider regions of the annuli aids flow through the narrow regions. The wall shear stresses are dependent only on the pressure drop, gap width and the local flow regimes. Eccentricity is found to hinder flow through the narrow regions of the annuli and certain combinations of rheology and eccentricity can cause stationary 'plug like' zones inside the annuli. The experimental study combined with microscopic calculations identified lift force as the limiting force in particle mobilization and put in perspective the possible importance of normal stresses due to the viscoelastic behavior of the fluid. It is shown that viscous characterization of the fluid is inadequate to predict the particle mobilization velocities even for very simple situations. Characterization of the fluid viscoelastic properties can provide qualitative information on the importance of fluid rheology for particle mobilization. The parameters of interest identified are the magnitude and strain sensitivity of linear viscoelastic moduli.
APA, Harvard, Vancouver, ISO, and other styles
7

Huang, Cheng-Chun, and 黃政鈞. "Formula Optimization of Alkaline Chemical Mechanical Polishing Cleaning Solution for Reducing the Defects of Copper Wafer." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/3wz4g2.

Full text
Abstract:
碩士
元智大學
化學工程與材料科學學系
105
The chemical mechanical polishing (CMP) processis widely used in the semiconductor manufacturingand it is an important technology for surface planarization. CMP process is the key technology in the advanced node, but that is dirty process. CMP process uses polish slurry, that contains many components, such as polish abrasive, inhibitor, chelating agents, and surfactants, which are prone to residue and produce defects on the wafer surface. During the CMP process, the polished wafers can cause some metal ion contamination. If these defects cannot be removed from the wafer surface, it will affect the wafer yield, quality and reliability. The purpose of post CMP cleaning is to reduce the overall defects on the wafer surface. The main purpose of this study is to use different chelating agent, surfactant and organic solvent to achieve results of the overall defect reduction, and to explore the effect of cleaning tool parameters on overall defects. The defects and surface roughness of the wafer and the state of the surface oxide layer were observed by means of analytical instrument. In the copper chemical mechanical polishing (Cu CMP) process, the polishing abrasives are mainly silica (SiO2) and alumina (Al2O3). The results of zeta potential analysis show that SiO2 and Al2O3 are relatively easy to remove in alkaline environment, at pH 12 or so, the zeta potential is minimized. In the cleaning tool parameters, that tuning of the brush speed, brush gap and deionizer water (DIW) flow rate. The results of the most obvious impact of the brush speed, DIW flow rate is not obvious and brush gap is between the two. Carboxylic and amine based group chelating agent were used to compare and the functional groups was two, three and four.The amine-based chelating agent has better defect results, higher etching rate and surface roughness than the carboxyl-based group. In addition, the concentration of the chelating agent has a significant effect on the overall defect reduction. However, the amine-based chelating agent in the high concentration of the situation, the surface roughness will increase with the concentration increases, resulting in the overall number of defects increased. The addition of surfactants can effectively reduce the overall defects and protect the wafer surface. However, when the concentration of the surfactant increases, it will remain on the wafer surface.The results of the contact angle and electrochemical analysis, it can be found that benzotriazole (BTA) adsorption of various state copper surfaces, the copper surface of the protective capacity is different. The corrosion current and corrosion rate of pure copper surface after BTA adsorption is lower than the copper oxide surface after BTA adsorption, but also relatively difficult to remove the BTA film. The efficiency of the BTA removal can be simple to predict by contact angle measurement and electrochemical Tafel analysis.
APA, Harvard, Vancouver, ISO, and other styles
8

Carvalhão, Miguel do Seixo. "Evaluation of mechanical soft-abrasive blasting and chemical cleaning methods on alkyd-paint graffiti made on carbonate stones." Master's thesis, 2015. http://hdl.handle.net/10362/15295.

Full text
Abstract:
In the context of this dissertation several studies were developed resulting in submission and publication “Evaluation of mechanical soft-abrasive blasting and chemical cleaning methods on alkyd-paint graffiti made on calcareous stones” to Journal of Cultural Heritage. (http://dx.doi.org/10.101 /j.culher.2014.10.004)
This study focuses on the assessment of three graffiti cleaning systems on alkyd-paint graffiti aerosols made on two Portuguese carbonate stones, a marble, Branco, and a limestone, Lioz. These carbonate stones are commonly used in Portugal as building materials and ornamental stones. Two non conventional commercial dry soft-abrasive blasting media (MC1 and MC2), specifically developed to clean the sensitive and delicate surfaces were tested, MC1 uses a sponge-like urethane polymer involving spherical calcium carbonate particles and in MC2 pure spherical calcium carbonate particles are used. An alkaline cleaner based on a solution of potassium hydroxide was also tested (CC1). The criteria for assessing the effectiveness and potential risks included changes in the chromatic parameters, static contact angle and surface roughness of the stones, identification of deleterious products (i.e. salts) and modification of the morphology and the composition of the surfaces. The methods were effective in the removal of the paint layers, although surfaces became slightly lighter. Adapting the classification proposed by Garcia and Malaga [29], the mechanical soft-abrasive cleaning methods were classified for both stones as Class C, i.e., with ΔEab near 12. The chemical cleaning was classified as Class A for marble stone (ΔEab < 5) and as Class B for the limestone (5<ΔEab<10). No sub-products were identified. With the chemical cleaning, distinct removal of crystals or dissolution of grain boundaries in addition to surface dissolution was observed. The cleaning methods presented a slight low damage potential to these stone materials, i.e., the impact of the cleaning methods on the topography of the surfaces was much reduced. These methods also altered the water repellency of the stone surfaces. An increase in the static contact angles was observed and could be related with changes in the roughness of the surfaces and also to unremoved polymers absorbed in some of the pores of the surfaces.
partially financed by FEDER Funds through the Programa Operacional Factores de Competitividade – COMPETE and by Portuguese Funds through FCT – Fundação para a Ciência e a Tecnologia (Pest-OE/CTE/UI0098/2011, Pest-OE/CTE/UI4028/2011)
APA, Harvard, Vancouver, ISO, and other styles
9

PARISI, ERICA ISABELLA. "Sistemi film-forming a base di PVA per la rimozione di prodotti di corrosione da leghe base-Cu (Film forming PVA-based cleaning systems for the removal of corrosion products from Cu-based alloys)." Doctoral thesis, 2016. http://hdl.handle.net/2158/1073126.

Full text
Abstract:
This PhD thesis presents an innovative poly(vinyl)alcohol-based film forming system, specifically devised to obtain a controllable and selective cleaning of ancient copper-based artifacts. Traditional cleaning procedures of metallic artifacts are commonly performed by mechanical and/or chemical methods. Unfortunately, both these methods present some limits, mainly related to poor selectivity, high invasiveness and scarce control over the cleaning procedures. The main advantage of the cleaning system presented here, consists in the simultaneous chemical and mechanical action, guaranteed respectively by the presence of a confined complexing agent specific for Cu(II) ions (EDTA, Rochelle salt, polyamines) and by the removal of the final film through a peeling action. The physico-chemical characterization of the cleaning system was carried out through different methods (gravimetry, thermal analysis, rheology and ATR-FTIR spectroscopy) in order to study the kinetics of films formation and their mechanical properties. Finally, cleaning tests were firstly performed on different artificially aged samples, then on real cases of study. Questa tesi di dottorato presenta un innovativo sistema filmante a base di polivinilalcol, sviluppato specificamente per ottenere una pulitura controllabile e selettiva su manufatti antichi in lega base-rame. Le tradizionali procedure di pulitura di manufatti metallici sono comunemente eseguite tramite metodi chimici e/o meccanici. Sfortunatamente, entrambi questi metodi, presentano alcuni limiti legati principalmente a scarsa selettività, elevata invasività e assenza di controllo sulle procedure di pulitura. Il principale vantaggio del sistema pulente qui presentato consiste nella simultanea azione chimica e meccanica, garantita rispettivamente dalla presenza di un agente complessante confinato, specifico per gli ioni Cu(II) (EDTA, sale di Rochelle, poliammine) e dalla rimozione del film finale tramite un'azione di peeling. La caratterizzazione chimico-fisica del sistema pulente è stata eseguita tramite diversi metodi (gravimetria, termoanalisi, reologia, spettroscopia ATR-FTIR) in modo da studiare le cinetiche di formazione dei film e le loro proprietà meccaniche. Infine, test di pulitura sono stati eseguiti inizialmente su provini invecchiati artificialmente e poi su casi studio reali.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Chemical-mechanical cleaning"

1

Harrington, Joe. Industrial Cleaning Technology. Dordrecht: Springer Netherlands, 2001.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

P, Smith Kirk, Sorenson Jason R, United States. Environmental Protection Agency., Massachusetts. Dept. of Environmental Protection., New Bedford (Mass ), and Geological Survey (U.S.), eds. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

Breault, Robert F. Residential street-dirt accumulation rates and chemical composition, and removal efficiencies by mechanical- and vacuum-type sweepers, New Bedford, Massachusetts, 2003-04. Reston, Va: U.S. Dept. of the Interior, U.S. Geological Survey, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Chemical-mechanical cleaning"

1

de Larios, John. "CMP Cleaning." In Chemical-Mechanical Planarization of Semiconductor Materials, 251–81. Berlin, Heidelberg: Springer Berlin Heidelberg, 2004. http://dx.doi.org/10.1007/978-3-662-06234-0_8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Choi, Jae Gon, Hyo Geun Yoon, Woo Jin Kim, Geun Min Choi, Young Wook Song, and Jin Goo Park. "The Dependence of Chemical Mechanical Polishing Residue Removal on Post-Cleaning Treatments." In Solid State Phenomena, 303–6. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/3-908451-46-9.303.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Jaswal, Ashish, and Manoj Kumar Sinha. "A Review on Solar Panel Cleaning Through Chemical Self-cleaning Method." In Lecture Notes in Mechanical Engineering, 835–44. Singapore: Springer Singapore, 2021. http://dx.doi.org/10.1007/978-981-15-8542-5_73.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

"Post-CMP cleaning." In Tribology In Chemical-Mechanical Planarization, 181–88. CRC Press, 2005. http://dx.doi.org/10.1201/9781420028393-11.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

"Post-CMP cleaning." In Tribology In Chemical-Mechanical Planarization. CRC Press, 2005. http://dx.doi.org/10.1201/9781420028393.ch8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

"Cleaning of Pipelines and Facilities." In Chemical and Mechanical Methods for Pipeline Integrity, 319–86. Society of Petroleum EngineersRichardson, Texas, USA, 2018. http://dx.doi.org/10.2118/9781613994962-07.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

"Cleaning and Finishing." In Superalloys, 203–10. 2nd ed. ASM International, 2002. http://dx.doi.org/10.31399/asm.tb.stg2.t61280203.

Full text
Abstract:
Abstract Superalloys are susceptible to damage from a variety of surface contaminants. They may also require special surface finishes for subsequent processing steps such as coating applications. This chapter describes some of the cleaning and finishing procedures that have been developed for superalloys and how they work. It discusses the effect of metallic contaminants, tarnish, oxide, and scale and how they can be detected and removed. It also discusses chemical and mechanical surface finishing techniques and where they are used, and presents several application examples.
APA, Harvard, Vancouver, ISO, and other styles
8

Subasri, R. "Plasma Surface Treatment: Effects on Mechanical and Corrosion Protection Properties of Hybrid Sol–Gel Coatings." In Encyclopedia of Aluminum and Its Alloys. Boca Raton: CRC Press, 2019. http://dx.doi.org/10.1201/9781351045636-140000211.

Full text
Abstract:
Surface cleaning and activation of substrates are two critical processes that affect the mechanical and corrosion resistance properties of protective coatings when deposited on the substrates. Surface cleaning removes the contaminants, for example, grease on the substrate, and surface activation introduces active bonds on the substrate thereby increasing the surface free energy. Conventionally, surface cleaning and activation of aluminum and its alloys are carried out by a wet chemical technique. A convenient and safe alternate to the wet chemical cleaning/activation would be to use plasma for the same purpose. Plasma surface pre-treatment greatly improves adhesion of coatings deposited, which is very vital for good corrosion protection and mechanical properties such as scratch and abrasion resistance. Cold and atmospheric air plasma treatments have been the most widely studied pre-treatments for Al alloys. This article will discuss the advancements in the use of plasma treatment on Al/Al alloys and its effect on corrosion resistance and mechanical properties of coatings deposited after the surface treatment.
APA, Harvard, Vancouver, ISO, and other styles
9

Seo, Jihoon. "Chemical Mechanical Planarization-Related to Contaminants: Their Sources and Characteristics." In Emerging Contaminants [Working Title]. IntechOpen, 2020. http://dx.doi.org/10.5772/intechopen.94292.

Full text
Abstract:
Chemical mechanical planarization (CMP) process has been widely used to planarize a variety of materials including dielectrics, metal, and semiconductors in Si-based semiconductor devices. It is one of the most critical steps to achieve the nanolevel wafer and die scale planarity. However, various contaminants are observed on the wafer surfaces after the CMP process, and they become the most critical yield detractor over many generations of rapidly diminishing feature sizes because they have the most direct impacts on device performance and reliability. This book chapter provides (1) CMP consumables-induced contaminants such as residual particles, surface residues, organic residues, pad debris and metallic impurities, pad contamination, watermark, etc., (2) brush-induced cross-contamination during post CMP cleaning, (3) post-CMP cleaning for removing these contaminants. Fundamental understanding of the formation of various types of CMP contaminants and their characteristics will significantly benefit the development of next-generation CMP slurries and post-CMP cleaning solutions.
APA, Harvard, Vancouver, ISO, and other styles
10

Seo, Jihoon. "Challenges and solutions for post-CMP cleaning at device and interconnect levels." In Advances in Chemical Mechanical Planarization (CMP), 503–32. Elsevier, 2022. http://dx.doi.org/10.1016/b978-0-12-821791-7.00010-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Chemical-mechanical cleaning"

1

Chen, Yufei, Jianshe Tang, Ekaterina Mikhaylichenko, Brian Brown, and Fritz Redeker. "Chemical mechanical cleaning for CMP defect reduction." In 2016 China Semiconductor Technology International Conference (CSTIC). IEEE, 2016. http://dx.doi.org/10.1109/cstic.2016.7464039.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ng, Dedy, Milind Kulkarni, Hong Liang, Yeau-Ren Jeng, and Pai-Yau Huang. "Nano-Particle Interaction During Chemical-Mechanical Polishing." In World Tribology Congress III. ASMEDC, 2005. http://dx.doi.org/10.1115/wtc2005-63591.

Full text
Abstract:
We investigate the particle adhering and removal processes during CMP and post-CMP cleaning. The mechanical interaction between abrasive particles and wafer surface was studied using a microcontact wear model. This model considers the particle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This study suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal small particles during cleaning. For large particles, more mechanical forces would be more effective.
APA, Harvard, Vancouver, ISO, and other styles
3

Busnaina, Ahmed A., and Naim Moumen. "Slurry Residue Removal in Post Chemical Mechanical Polishing." In ASME 1999 Design Engineering Technical Conferences. American Society of Mechanical Engineers, 1999. http://dx.doi.org/10.1115/detc99/cie-9049.

Full text
Abstract:
Abstract The megasonic cleaning process proved to be an essential process in cleaning silicon wafers after processes such as pre-oxidation, pre-CVD, pre-EPI, post-ASH and lately post-CMP. Current post-CMP cleans are contact cleaning techniques. These contact techniques have a low throughput and may cause wafer scratching. In addition, in contact cleaning, brush shedding which occurs under many operating conditions causes additional particulate contamination. There is a need for an effective post-CMP cleaning process. Megasonic cleaning provides the best alternative or compliment to brush clean.
APA, Harvard, Vancouver, ISO, and other styles
4

Shaheen, S. E., H. M. Ibrahim, and P. G. Raoul. "Chemical Treatment vs. Mechanical Operations in Tank Cleaning: Who Won?" In SPE International Symposium on Oilfield Chemistry. Society of Petroleum Engineers, 1999. http://dx.doi.org/10.2118/50792-ms.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Gibson, Gregory, Max Fazel, and Stephen P. Chesters. "Cleaning Calcium Sulfate in Mine Water Membranes." In The 5th World Congress on Mechanical, Chemical, and Material Engineering. Avestia Publishing, 2019. http://dx.doi.org/10.11159/mmme19.127.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Sidiras, Dimitrios. "Modified Biomass for Pollution Cleaning Under the Frames of Biorefinery and Sustainable Circular Bioeconomy." In The 4th World Congress on Mechanical, Chemical, and Material Engineering. Avestia Publishing, 2018. http://dx.doi.org/10.11159/iccpe18.107.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Sidiras, Dimitrios. "Modified Biomass for Pollution Cleaning under the Frames of Biorefinery and Sustainable Circular Bioeconomy." In The 4th World Congress on Mechanical, Chemical, and Material Engineering. Avestia Publishing, 2018. http://dx.doi.org/10.11159/iccpe18.1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Altun, N. Emre, Jiann-Yang Hwang, and C. Hicyilmaz. "Use of Ultrasound to Enhance Flotation Performance: Flotation Cleaning of Oil Shale after Ultrasonic Pre-Treatment." In The 4th World Congress on Mechanical, Chemical, and Material Engineering. Avestia Publishing, 2018. http://dx.doi.org/10.11159/mmme18.130.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kim, Hong Jin, Bryan Egan, Robert Solan, Xingzhao Shi, and Ja-Hyung Han. "Brush cleaning effect on tugnsten voids defect in chemical mechanical polishing: CFM: Contamination free manufacturing." In 2018 29th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC). IEEE, 2018. http://dx.doi.org/10.1109/asmc.2018.8373140.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Yanti, Fusia Mirda, Zulaicha Dwi Hastuti, S. D. Sumbogo Murti, Novio Valentino, Atti Sholihah, and Asmi Rima Juwita. "Utilization palm oil mill effluent for biogas using continous-stirred-tank-reactor: Production and biogas cleaning." In THE 4TH INTERNATIONAL CONFERENCE ON INDUSTRIAL, MECHANICAL, ELECTRICAL, AND CHEMICAL ENGINEERING. Author(s), 2019. http://dx.doi.org/10.1063/1.5098237.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Chemical-mechanical cleaning"

1

Petit, Sylvain, Joannie Chin, Amanda Forster, Michael Riley, and Kirk Rice. Effect of artificial perspiration and cleaning chemicals on mechanical and chemical properties of ballistic fibers. Gaithersburg, MD: National Institute of Standards and Technology, 2008. http://dx.doi.org/10.6028/nist.ir.7494.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Tracy, Noel A. Nondestructive Evaluation (NDE) Exploratory Development for Air Force Systems. Delivery Order 0001: Quick Reaction NDE and Characterization--Effects of Chemical Effects of Chemical Etching after Pre-Inspection Mechanical Cleaning on Fluorescent Penetrant Indications of Fatigue Cracks. Fort Belvoir, VA: Defense Technical Information Center, August 2011. http://dx.doi.org/10.21236/ada550862.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography