To see the other types of publications on this topic, follow the link: Branch.

Dissertations / Theses on the topic 'Branch'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Branch.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Cardozo, Whitney. "Branch Public Baths." VCU Scholars Compass, 2013. http://scholarscompass.vcu.edu/etd/3029.

Full text
Abstract:
Is it possible for a small hotel to strengthen connections between local and transient groups? Can a small hotel serve as a host to visitors to Richmond, Virginia but also serve as a ‘third place’ for people who live and work in the city? The Branch Public Baths Building in Richmond, Virginia will be renovated to strengthen community by serving as a restaurant and providing accommodations and interaction to a wide variety of travelers through an urban hotel setting. The Branch Public Baths cafe and restaurant can be an ‘anchor’ third space. This unique design solution can strategically integrate the third space as gathering space. It will be a hybrid version of a coffee house, bar & restaurant and hotel. It will be a destination in itself not just for overnight guests.
APA, Harvard, Vancouver, ISO, and other styles
2

Grafsky, Ann. "Branch alternatives model." CSUSB ScholarWorks, 2000. https://scholarworks.lib.csusb.edu/etd-project/1635.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Hicks, Michael Andrew. "Energy efficient branch prediction." Thesis, University of Hertfordshire, 2010. http://hdl.handle.net/2299/4613.

Full text
Abstract:
Energy efficiency is of the utmost importance in modern high-performance embedded processor design. As the number of transistors on a chip continues to increase each year, and processor logic becomes ever more complex, the dynamic switching power cost of running such processors increases. The continual progression in fabrication processes brings a reduction in the feature size of the transistor structures on chips with each new technology generation. This reduction in size increases the significance of leakage power (a constant drain that is proportional to the number of transistors). Particularly in embedded devices, the proportion of an electronic product’s power budget accounted for by the CPU is significant (often as much as 50%). Dynamic branch prediction is a hardware mechanism used to forecast the direction, and target address, of branch instructions. This is essential to high performance pipelined and superscalar processors, where the direction and target of branches is not computed until several stages into the pipeline. Accurate branch prediction also acts to increase energy efficiency by reducing the amount of time spent executing mis-speculated instructions. ‘Stalling’ is no longer a sensible option when the significance of static power dissipation is considered. Dynamic branch prediction logic typically accounts for over 10% of a processor’s global power dissipation, making it an obvious target for energy optimisation. Previous approaches at increasing the energy efficiency of dynamic branch prediction logic has focused on either fully dynamic or fully static techniques. Dynamic techniques include the introduction of a new cache-like structure that can decide whether branch prediction logic should be accessed for a given branch, and static techniques tend to focus on scheduling around branch instructions so that a prediction is not needed (or the branch is removed completely). This dissertation explores a method of combining static techniques and profiling information with simple hardware support in order to reduce the number of accesses made to a branch predictor. The local delay region is used on unconditional absolute branches to avoid prediction, and, for most other branches, Adaptive Branch Bias Measurement (through profiling) is used to assign a static prediction that is as accurate as a dynamic prediction for that branch. This information is represented as two hint-bits in branch instructions, and then interpreted by simple hardware logic that bypasses both the lookup and update phases for appropriate branches. The global processor power saving that can be achieved by this Combined Algorithm is around 6% on the experimental architectures shown. These architectures are based upon real contemporary embedded architecture specifications. The introduction of the Combined Algorithm also significantly reduces the execution time of programs on Multiple Instruction Issue processors. This is attributed to the increase achieved in global prediction accuracy.
APA, Harvard, Vancouver, ISO, and other styles
4

Wellen, George Arthur. "Branch groups and automata." Thesis, University of Oxford, 2008. http://ora.ox.ac.uk/objects/uuid:b0be5468-cce9-421b-85be-c386d7c3808a.

Full text
Abstract:
The focus of this thesis is finitely generated subgroups of the automorphism group of an infinite spherically homogeneous rooted tree (regular or irregular). The first chapter introduces the topic and outlines the main results. The second chapter provides definitions of the terminology used, and also some preliminary results. The third chapter introduces a group that appears to be a promising candidate for a finitely generated group of infinite upper rank with finite upper $p$-rank for all primes $p$. It goes on to demonstrate that in fact this group has infinite upper $p$-rank for all primes $p$. As a by-product of this construction, we obtain a finitely generated branch group with quotients that are virtually-(free abelian of rank $n$) for arbitrarily large $n$. The fourth chapter gives a complete classification of ternary automata with $C_2$-action at the root, and a partial classification of ternary automata with $C_3$-action at the root. The concept of a `windmill automaton' is introduced in this chapter, and a complete classification of binary windmill automata is given. The fifth chapter contains a detailed study of the non-abelian ternary automata with $C_3$-action at the root. It also contains some conjectures about possible isomorphisms between these groups.
APA, Harvard, Vancouver, ISO, and other styles
5

destiche, aurielle. "From the Same Branch." ScholarWorks@UNO, 2014. http://scholarworks.uno.edu/td/1868.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Vinsant, Vanessa Yvonne. "Lucky Strike Branch Library." VCU Scholars Compass, 2008. http://scholarscompass.vcu.edu/etd/798.

Full text
Abstract:
This thesis project is an examination of how design can reinvigorate interest in reading through the creation of a branch library. The primary focus of this study is to generate a space that successfully binds intellectual interests, social and economic groups to re-establish community.
APA, Harvard, Vancouver, ISO, and other styles
7

Pope, Turia R. "Welcome to the Branch." VCU Scholars Compass, 2006. http://scholarscompass.vcu.edu/etd/917.

Full text
Abstract:
Welcome to the Branch is a two-act play that investigates issues of cultural differences in the Church of Jesus Christ of Latter Day Saints (or LDS church), more commonly known as the Mormon Church. Set in modern-day, downtown Richmond, Welcome to the Branch follows two very different members of the LDS church as they examine and try to understand both their religion, in the context of its cultural and social history, and their place in it. One is Molly, a Caucasian, middle-class young woman from Utah, in Richmond temporarily for her husband's graduate school; the other is Aina, an African American, single mother living in Mosby Court who has recently joined the LDS church. While Aina struggles for survival and looks for meaning in a church where, historically, she would not have felt welcome, Molly slowly comes to terms with the limitations of her own sheltered background.
APA, Harvard, Vancouver, ISO, and other styles
8

Garrido, Alejandra. "Aspects of branch groups." Thesis, University of Oxford, 2015. http://ora.ox.ac.uk/objects/uuid:79a3e094-38ac-424b-a83d-abb26257bfd2.

Full text
Abstract:
This thesis is a study of the subgroup structure of some remarkable groups of automorphisms of rooted trees. It is divided into two parts. The main result of the first part is seemingly of an algorithmic nature, establishing that the Gupta--Sidki 3-group G has solvable membership problem. This follows the approach of Grigorchuk and Wilson who showed the same result for the Grigorchuk group. The proof, however, is not algorithmic, and it moreover shows a striking subgroup property of G: that all its infinite finitely generated subgroups are abstractly commensurable with either G or G × G. This is then used to show that G is subgroup separable which, together with some nice presentability properties of G, implies that the membership problem is solvable. The proof of the main theorem is also used to show that G satisfies a "strong fractal" property, in that every infinite finitely generated subgroup acts like G on some rooted subtree. The second part concerns the subgroup structure of branch and weakly branch groups in general. Motivated by a natural question raised in the first part, a necessary condition for direct products of branch groups to be abstractly commensurable is obtained. From this condition it follows that the Gupta--Sidki 3-group is not abstractly commensurable with its direct square. The first main result in the second part states that any (weakly) branch action of a group on a rooted tree is determined by the subgroup structure of the group. This is then applied to answer a question of Bartholdi, Siegenthaler and Zalesskii, showing that the congruence subgroup property for branch and weakly branch groups is independent of the actions on a tree. Finally, the information obtained on subgroups of branch groups is used to examine which groups have an essentially unique branch action and why this holds.
APA, Harvard, Vancouver, ISO, and other styles
9

Lai, Winnie Chelsea. "Linbo₃ Y-branch optical modul." Thesis, University of British Columbia, 1991. http://hdl.handle.net/2429/29993.

Full text
Abstract:
Y-branch optical modulators are potentially very useful in optical communications because of their non-interferometric nature, making them easier to fabricate and to control than other types of electro-optic switches. They can be used as digital optical switches, time division multiplexers, or in conjunction with a resonator as its electrode to form a high speed optical commutator switch. The main problem with Y-branch modulators to date is that they all have very small branch angles, e.g., less than 0.2°, and hence long electrodes, thereby increasing the device capacitance and reducing the switching speed. By studying a Y-branch optical modulator numerically and experimentally, our objective is to design a Y-branch modulator which has a short electrode and still offers high on/off ratios and high percentage guided power. By using the effective index method along with the 2-D split-step finite difference beam propagation method, a z-cut titanium indiffused lithium niobate Y-branch modulator is simulated for a free space wavelength of 632.8 nm. The parameters varied in the simulations are: maximum refractive index change at the surface of the waveguide, electrode length, branch angle, and applied modulating voltage. Based on the simulation results, a maximum refractive index change of 0.0042 and an electrode length of two-horn-length are used to provide good on/off ratios and percentage guided power while keeping the electrode short. Since the on/off ratios increase with branch angle while the percentage guided power decreases with branch angle, a range of angles between 1.0° and 1.5° are found to provide the preferred operating characteristics. Y-branch modulators with branch angles ranging from 0.5° to 3.0°, and with electrodes of two-horn-length as well as three-horn-length, are fabricated. The fabrication parameters are as specified in the simulations, e.g. waveguides are formed by diffusing 4 µm wide titanium strips at 1050 °C for 6 hours. Previous fabrication problems such as dust accumulation and surface guiding are alleviated. The devices are tested by launching polarized light from a helium neon laser into a polarization-maintaining fibre and then endfire coupling the light into the fundamental TM-like mode of the waveguides. The on/off ratios and percentage guided powers are measured for both devices with two-horn-length and three-horn-length electrodes. These measured results generally compare well with the theoretical values and the behaviours of all the Y-branch are as predicted. Using the 1.5° Y-branch with the two-horn-length electrode (300 µm) as an example, the experimental on/off ratio is 40:1 with a 66% guided power at 75 V while the theoretical values are 44:1 with 62% guided power. We have demonstrated that a Y-branch electro-optic modulator with high on/off ratios and percentage guided power can be realized with short electrodes.
Applied Science, Faculty of
Electrical and Computer Engineering, Department of
Graduate
APA, Harvard, Vancouver, ISO, and other styles
10

Turpin, Heather Jane. "The branch-and-bound paradigm." Thesis, University of East Anglia, 1990. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.277168.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Wen, Qin. "The branch-specific helicase, RecG." Thesis, University of Nottingham, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.404011.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Малиш, Г. А. "Розгалужена мережа філій банків: переваги та недоліки." Thesis, НО "Economics", 2013. http://essuir.sumdu.edu.ua/handle/123456789/58805.

Full text
Abstract:
У доповіді був розглянутий зарубіжний та вітчизняний досвід управління розгалуженою мережею банківських філій, визначені переваги та недоліки інтенсивного розгалуження філіальної мережі.
The report was considered foreign and domestic experience of managing an extensive network of bank branches, identified advantages and disadvantages of intensive branching bank network.
APA, Harvard, Vancouver, ISO, and other styles
13

Stix, Volker. "Stochastic branch & bound applying target oriented branch & bound method to optimal scenario tree reduction." Institut für Informationsverarbeitung und Informationswirtschaft, WU Vienna University of Economics and Business, 2002. http://epub.wu.ac.at/1212/1/document.pdf.

Full text
Abstract:
In this article a new branch & bound method is described. It uses an artificial target to improve its bounding capabilities. Therefore the new approach is faster compared to the classical one. It is applied to the stochastic problem of optimal scenario tree reduction. The aspects of global optimization are emphasized here. All necessary components for that problem are developed and some experimental results underline the benefits of the new approach. (author's abstract)
Series: Working Papers on Information Systems, Information Business and Operations
APA, Harvard, Vancouver, ISO, and other styles
14

Falcone, Nicole, and Cecilia Jerrewing. "Branch- och företagsanalys av kommersiella fastighetsbolag." Thesis, Södertörn University College, School of Business Studies, 2006. http://urn.kb.se/resolve?urn=urn:nbn:se:sh:diva-710.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Fink, Elisabeth. "On some non-periodic branch groups." Thesis, University of Oxford, 2013. http://ora.ox.ac.uk/objects/uuid:e8aec0f9-e60f-457c-87d1-0780720e2cae.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Alovisi, Pietro. "Static Branch Prediction through Representation Learning." Thesis, KTH, Skolan för elektroteknik och datavetenskap (EECS), 2020. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-277923.

Full text
Abstract:
In the context of compilers, branch probability prediction deals with estimating the probability of a branch to be taken in a program. In the absence of profiling information, compilers rely on statically estimated branch probabilities, and state of the art branch probability predictors are based on heuristics. Recent machine learning approaches learn directly from source code using natural language processing algorithms. A representation learning word embedding algorithm is built and evaluated to predict branch probabilities on LLVM’s intermediate representation (IR) language. The predictor is trained and tested on SPEC’s CPU 2006 benchmark and compared to state-of-the art branch probability heuristics. The predictor obtains a better miss rate and accuracy in branch prediction than all the evaluated heuristics, but produces and average null performance speedup over LLVM’s branch predictor on the benchmark. This investigation shows that it is possible to predict branch probabilities using representation learning, but more effort must be put in obtaining a predictor with practical advantages over the heuristics.
Med avseende på kompilatorer, handlar branch probability prediction om att uppskatta sannolikheten att en viss förgrening kommer tas i ett program. Med avsaknad av profileringsinformation förlitar sig kompilatorer på statiskt upp- skattade branch probabilities och de främsta branch probability predictors är baserade på heuristiker. Den senaste maskininlärningsalgoritmerna lär sig direkt från källkod genom algoritmer för natural language processing. En algoritm baserad på representation learning word embedding byggs och utvärderas för branch probabilities prediction på LLVM’s intermediate language (IR). Förutsägaren är tränad och testad på SPEC’s CPU 2006 riktmärke och jämförd med de främsta branch probability heuristikerna. Förutsägaren erhåller en bättre frekvens av missar och träffsäkerhet i sin branch prediction har jämförts med alla utvärderade heuristiker, men producerar i genomsnitt ingen prestandaförbättring jämfört med LLVM’s branch predictor på riktmärket. Den här undersökningen visar att det är möjligt att förutsäga branch prediction probabilities med användande av representation learning, men att det behöver satsas mer på att få tag på en förutsägare som har praktiska övertag gentemot heuristiken.
APA, Harvard, Vancouver, ISO, and other styles
17

Dharmatilake, Jack Sidathdam. "Binary matroids of branch-width 3 /." The Ohio State University, 1994. http://rave.ohiolink.edu/etdc/view?acc_num=osu1487850665557785.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Bielby, Matthew Iain. "Ultra low power cooperative branch prediction." Thesis, University of Edinburgh, 2015. http://hdl.handle.net/1842/14187.

Full text
Abstract:
Branch Prediction is a key task in the operation of a high performance processor. An inaccurate branch predictor results in increased program run-time and a rise in energy consumption. The drive towards processors with limited die-space and tighter energy requirements will continue to intensify over the coming years, as will the shift towards increasingly multicore processors. Both trends make it increasingly important and increasingly difficult to find effective and efficient branch predictor designs. This thesis presents savings in energy and die-space through the use of more efficient cooperative branch predictors achieved through novel branch prediction designs. The first contribution is a new take on the problem of a hybrid dynamic-static branch predictor allocating branches to be predicted by one of its sub-predictors. A new bias parameter is introduced as a mechanism for trading off a small amount of performance for savings in die-space and energy. This is achieved by predicting more branches with the static predictor, ensuring that only the branches that will most benefit from the dynamic predictor’s resources are predicted dynamically. This reduces pressure on the dynamic predictor’s resources allowing for a smaller predictor to achieve very high accuracy. An improvement in run-time of 7-8% over the baseline BTFN predictor is observed at a cost of a branch predictor bits budget of much less than 1KB. Next, a novel approach to branch prediction for multicore data-parallel applications is presented. The Peloton branch prediction scheme uses a pack of cyclists as an illustration of how a group of processors running similar tasks can share branch predictions to improve accuracy and reduce runtime. The results show that sharing updates for conditional branches across the existing interconnect for I-cache and D-cache updates results in a reduction of mispredictions of up to 25% and a reduction in run-time of up to 6%. McPAT is used to present an energy model that suggests the savings are achieved at little to no increase in energy required. The technique is then extended to architectures where the size of the branch predictors may differ between cores. The results show that such heterogeneity can dramatically reduce the die-space required for an accurate branch predictor while having little impact on performance and up to 9% energy savings. The approach can be combined with the Peloton branch prediction scheme for reduction in branch mispredictions of up to 5%.
APA, Harvard, Vancouver, ISO, and other styles
19

Ilg, Andrea Diane. "The effect of branch density polyoxymethylene copolymers." [College Station, Tex. : Texas A&M University, 2007. http://hdl.handle.net/1969.1/ETD-TAMU-1258.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

WU, Jingbo, and Jie ZHANG. "Route planning of China TobaccoGuiyang Branch Office." Thesis, University of Gävle, Faculty of Engineering and Sustainable Development, 2010. http://urn.kb.se/resolve?urn=urn:nbn:se:hig:diva-7444.

Full text
Abstract:

To begin with we provide a brief background on the Longwangzhuang coal industry company. In this paper we introduce the BSC and try to search and seek a suitable BSC management system which combined with the Chinese culture, special organization culture and structure. Sequentially, improve the internal system of this company. After all, our conclusion is with the improved strategy and target that is possible to use BSC to improve the internal system in Longwangzhuang coal industry. We give some advices to Longwangzhuang coal Industry Company helps them to improve.

APA, Harvard, Vancouver, ISO, and other styles
21

Rahman, Mostafizur. "Branch and Bound Algorithm for Multiprocessor Scheduling." Thesis, Högskolan Dalarna, Datateknik, 2009. http://urn.kb.se/resolve?urn=urn:nbn:se:du-3790.

Full text
Abstract:
The multiprocessor task graph scheduling problem has been extensively studied asacademic optimization problem which occurs in optimizing the execution time of parallelalgorithm with parallel computer. The problem is already being known as one of the NPhardproblems. There are many good approaches made with many optimizing algorithmto find out the optimum solution for this problem with less computational time. One ofthem is branch and bound algorithm.In this paper, we propose a branch and bound algorithm for the multiprocessor schedulingproblem. We investigate the algorithm by comparing two different lower bounds withtheir computational costs and the size of the pruned tree.Several experiments are made with small set of problems and results are compared indifferent sections.
APA, Harvard, Vancouver, ISO, and other styles
22

Vance, Heather. "Opportunity Index development for bank branch networks." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2000. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape4/PQDD_0019/MQ54116.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Turkensteen, Marcel. "Advanced analysis of branch and bound algorithms." [S.l. : [Groningen : s.n.] ; University Library Groningen] [Host], 2006. http://irs.ub.rug.nl/ppn/299139158.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Willems, Frits Jan. "Infrared studies of asymptotic giant branch stars." [S.l. : Amsterdam : s.n.] ; Universiteit van Amsterdam [Host], 1987. http://dare.uva.nl/document/113520.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Mitchell, Alison Hilary. "Branch migration by the RuvAB molecular motor." Thesis, Imperial College London, 1996. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.244100.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

George, Helen Marion. "Characterisation of the RuvB branch migration motor." Thesis, University College London (University of London), 2000. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.311973.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Stanfield, David A. "International Branch Campuses: Motivation, Strategy, and Structure." Thesis, Boston College, 2014. http://hdl.handle.net/2345/bc-ir:103560.

Full text
Abstract:
Thesis advisor: Philip G. Altbach
Thesis advisor: Karen D. Arnold
Over 200 international branch campuses (IBCs) currently exist globally and the number continues to rise (Lawton & Katsomitros, 2012). This study examines the strategy, structure, and motivation behind a single American IBC--Texas A&M University at Qatar (TAMUQ). Drawing from organizational theory and international higher education literature, this research reveals important considerations for institutions developing or currently operating IBCs. Findings stemmed from 27 in-depth qualitative interviews with faculty and administrators from the branch campus in Qatar, the main campus in Texas, and the host country sponsor. Multiple factors contributed to Texas A&M being poised and ready to accept the opportunity to open an international branch campus: an invitation from a host country sponsor willing to cover all expenses, existing international ambitions, and strong support from the central administration. The inception period leading up to the opening of the branch campus proved crucial for success. University administrators wisely developed buy-in among campus constituencies, negotiated important contract stipulations with the host country, ensured that the institution's existing structure could reasonably support such an endeavor, and assessed whether Qatar was a good fit. The early years of TAMUQ resembled a startup organization. The pioneering team of faculty and staff brought an entrepreneurial spirit necessary to build the institution, but they operated largely independent from the home campus in Texas. A later push for greater ties with the main campus was difficult but important for progress. Since TAMUQ is a derivative of the main campus, administrators had to consider the degree to which they would replicate and adapt various institutional elements. Academically, the curriculum is only modified slightly, but course content and pedagogy are adapted more heavily in response to the unique needs of the student population. Hiring faculty with experience teaching on the main campus is considered an important way to maintain quality, yet administrators consistently struggle to recruit faculty. Unlike other IBCs, TAMUQ has developed a robust research program through the financial support of the host country sponsor
Thesis (PhD) — Boston College, 2014
Submitted to: Boston College. Lynch School of Education
Discipline: Educational Leadership and Higher Education
APA, Harvard, Vancouver, ISO, and other styles
28

Formeister, Richard. "DIVERSITY BRANCH SELECTION IN REAL WORLD APPLICATION." International Foundation for Telemetering, 2007. http://hdl.handle.net/10150/604498.

Full text
Abstract:
ITC/USA 2007 Conference Proceedings / The Forty-Third Annual International Telemetering Conference and Technical Exhibition / October 22-25, 2007 / Riviera Hotel & Convention Center, Las Vegas, Nevada
Multipath propagation continues to be the dominant channel impairment in many aeronautical mobile telemetry (AMT) applications. Avoidance and diversity techniques continue to be the only practical means to combat this problem. In 2004 limited results from the development of a new post-detection, no-hit diversity selector were reported. Late breaking results from flight test were reported orally. A review of the methodology, flight test results and conclusions are presented in this paper. Also presented is an update describing enhancements of the implementation which emphasize operational flexibility as well as support for alternate demodulator products.
APA, Harvard, Vancouver, ISO, and other styles
29

Gomes, Gabriel Ferreira Teles 1985. "Indirect branch emulation techniques in virtual machines." [s.n.], 2014. http://repositorio.unicamp.br/jspui/handle/REPOSIP/275506.

Full text
Abstract:
Orientador: Edson Borin
Dissertação (mestrado) - Universidade Estadual de Campinas, Instituto de Computação
Made available in DSpace on 2018-08-25T09:40:30Z (GMT). No. of bitstreams: 1 Gomes_GabrielFerreiraTeles_M.pdf: 1568441 bytes, checksum: b0b5fb8e25907bd153706a27a9b597ea (MD5) Previous issue date: 2014
Resumo: Tradução dinâmica de binários é uma técnica de emulação comumente utilizada na implementação de máquinas virtuais. Neste contexto, a emulação de saltos indiretos é uma das principais fontes de perda de eficiência, o que atrapalha a aplicabilidade de tradutores dinâmicos de binários. Essa dissertação descreve diversas técnicas que tentam melhorar o desempenho e a eficiência da emulação de saltos indiretos em máquinas virtuais eficientes. O DynamoRIO é uma máquina virtual que se enquadra nessa categoria e que utiliza características de diversas dessas técnicas. Nessa dissertação, nós apresentamos a implementação atual do DynamoRIO, modificamos seu código para incluir duas novas técnicas de emulação de saltos indiretos (Inline Caching e IBTC) e as comparamos com outras técnicas descritas na literatura
Abstract: Dynamic binary translation is an emulation technique commonly employed in the implementation of virtual machines. One of the main sources of overhead that hinder the applicability of dynamic binary translators is that caused by the emulation of indirect branch instructions. This master thesis describes several techniques that try to improve the performance and efficiency of indirect branch emulation in efficient virtual machines. DynamoRIO is one of such machines and it implements features used by several of those techniques. In this master thesis, we present current implementations of DynamoRIO, modify its code to include two new techniques (Inline Caching and IBTC) and compare it with other techniques described in the literature
Mestrado
Ciência da Computação
Mestre em Ciência da Computação
APA, Harvard, Vancouver, ISO, and other styles
30

Nsengiyumva, Francois. "Asymptotic giant branch variables in NGC 6822." Master's thesis, University of Cape Town, 2010. http://hdl.handle.net/11427/12395.

Full text
Abstract:
Includes bibliographical references (p. 71-75).
NGC 6822, a Local Group dwarf irregular galaxy, was observed in the nearinfrared JHKS bands using the Japanese-SAAO Infrared Survey Facility (IRSF) telescope over a period of about four years. An extensive search for large amplitude asymptotic giant branch variables over the observed 7.5' x 21.1' area of NGC 6822 found 162 probable variables. In particular, 30 Miras and 9 semiregulars have been identified and characterised.
APA, Harvard, Vancouver, ISO, and other styles
31

Guilbeau, Jared T. "A Vector Parallel Branch and Bound Algorithm." Thesis, University of Louisiana at Lafayette, 2017. http://pqdtopen.proquest.com/#viewpdf?dispub=10242153.

Full text
Abstract:

Global optimization problems sometimes attain their extrema on infinite subsets of the search space, forcing mathematically rigorous programs to require large amounts of data to describe these sets. This makes these programs natural candidates for both vectorization methods and parallel computing. Here, we give a brief overview of parallel computing and vectorization methods, exploit their availability by constructing a fully distributed implementation of a mathematically rigorous Vector Parallel Branch and Bound Algorithm using MATLAB’s SPMD architecture and interval arithmetic, and analyze the performance of the algorithm across different methods of inter-processor communication.

APA, Harvard, Vancouver, ISO, and other styles
32

Jiménez, Daniel Angel. "Delay-sensitive branch predictors for future technologies." Full text (PDF) from UMI/Dissertation Abstracts International, 2002. http://wwwlib.umi.com/cr/utexas/fullcit?p3081043.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Norgren, Ofelia. "Pulsation Properties in Asymptotic Giant Branch Stars." Thesis, Uppsala universitet, Teoretisk astrofysik, 2019. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-388388.

Full text
Abstract:
Asymptotic Giant Branch (AGB) stars are stars with low- to intermediate mass in a late stage in their stellar evolution. An important feature of stellar evolution is the ongoing nucleosynthesis, the creation of heavier elements. Unlike main sequence stars, the AGB stars have a thick convective envelope which makes it possible to dredge-up the heavier fused elements from the stellar core to its surface. AGB stars are also pulsating variable stars, meaning the interior expands and contracts, causing the brightness to fluctuate. These pulsations will also play a major role in the mass loss observed in these stars. The mass loss is caused by stellar winds that accelerate gas and dust from the surface of these stars and thereby chemical enrich the interstellar medium. It is important to understand the properties of these pulsations since they play a key role in how stellar winds are produced and then enrich the galaxy with heavier synthesized elements. These pulsation periods can be observed with their corresponding Light-Curves, where the periodic motion of the brightness can be clearly seen. The main goal with this project is to calculate these pulsation periods for different AGB stars and compare these values with the periods listed in the General Catalogue of Variable Stars (GCVS). The comparison between these values gives a better understanding of methods of determining these periods and the uncertainties that follow.
Asymptotiska jättegrenen är en del av slutstadiet för låg- till medelmassiva stjärnor (AGB stjärnor). Ett viktigt kännetecken hos stjärnutvecklingen är den pågående nukleosyntesen, sammanslagningen av tyngre ämnen i stjärnans inre. Till skillnad mot stjärnor på huvudserien har AGB stjärnor ett tjockt konvektivt lager som gör det möjligt att dra upp dessa nybildade ämnen till stjärnans yta. AGB stjärnor är pulserande variabla stjärnor där variationer i stjärnans radie gör att ljusstyrkan varierar. Dessa pulsationer kommer även att spela en viktig roll för den massförlust som observeras hos dessa stjärnor. Massförlusten orsakas av stjärnvindar som accelererar gas och stoft från stjärnans yta och därmed kemiskt berikar det interstellära mediet. Det är viktigt att förstå dessa pulsationer eftersom de är en viktig komponent för hur stjärnvindar uppstår och sedan berikar galaxer med tyngre ämnen. Dessa pulsationsperioder kan studeras genom att observera stjärnornas ljuskurvor, där man tydligt ser det periodiska beteendet hos ljusstyrkan. Det huvudsakliga målet med detta projekt är att beräkna dessa perioder för olika AGB stjärnor och att sedan jämföra dem med värden från General Catalogue of Variable Stars (GCVS). Jämförelsen mellan dessa värden ger en bättre förståelse för metoderna som används för att bestämma dessa perioder och hur osäkra dessa värden är.
APA, Harvard, Vancouver, ISO, and other styles
34

Teclemariam, Zoskales. "Two-phase (gas-liquid) flow distribution in the outlet branches of a horizontal multi-branch header." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2000. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape4/PQDD_0022/MQ51809.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Moursli, Omar. "Scheduling the hybrid flowshop : branch and bounnd algorithms." Université catholique de Louvain, 1999. http://edoc.bib.ucl.ac.be:81/ETD-db/collection/available/BelnUcetd-11262003-101952/.

Full text
Abstract:
This thesis studies Production Scheduling in a multistage hybrid flowshop facility. It first states the general Production Planning and Scheduling problem and highlights some drawbacks of classical solutions. A theoretical decomposition-based approach is introduced whose main issue is to overcome non-efficient capacity utilization. By using Branch and Bound methods, an in-depth analysis of the scheduling part of the system is then carried out throughout the study and development of upper and lower bounds as well as branching schemes. Already-existing and new heuristics are presented and compared on different shop floor configurations. Five different heuristic approaches are studied. By scheduling the HFS one stage at a time the first approach uses different stage sequencing orders. The second and third approaches are mainly list heuristics. The second approach uses ideas derived from the multistage classical flowshop with a single machine per stage, while the third approach uses classical dispatching priority rules. The fourth and fifth approaches, respectively, use random scheduling and local search techniques. Statistical analysis is carried out in order to compare the heuristics and to select the best of them for each shop configuration. Already-existing and new lower bounds on the single stage subproblem are also presented and compared. Three new lower bounds are developed: a dual heuristic based bound, a partially preemptive bound and a heuristic for the so-called subset bound. Some of these lower bounds use a network flow algorithm. A new version of the “Preflow Push” algorithm which runs faster than the original one is presented. The best lower bounds are selected based on numerical tests. Two branch and bound algorithms are presented, an improved version of the sequence enumeration method and a generalization of the so-called interval branching method, along with several bounding strategies. Based on the upper and lower bound studies, several branch and bound algorithms are presented and compared using numerical tests on different shop floor configurations. Eventually, an Object Model for Scheduling Algorithm Implementations (OMSAI), that has been used for the computer implementation of the developed algorithms, is presented.
APA, Harvard, Vancouver, ISO, and other styles
36

Farias, Denilson Atilio Godry. "Paralelização da Técnica Branch and Bound com PVM." reponame:Repositório Institucional da UFPR, 2011. http://hdl.handle.net/1884/25089.

Full text
Abstract:
Resumo: Este trabalho aborda a implementação paralela da técnica Branch-and-Bound em problemas de otimização combinatoria, especificamente busca em grafos. E utilizado na implementação o modelo de programação paralela por troca de mensagens com o uso da biblioteca Parallel Virtual Machine (PVM) sobre o sistema operacional Linux em uma arquitetura multicomputador. E analisado o comportamento da técnica Branch-and-Bound, em particular a relação entre (a) três critérios de busca, (b) a utilização dos recursos de memória e (c) granularidade de, processamento e comunicação entre processos. E proposto um esquema de implementação com processos mestre-escravos semi-distribuído, onde o processo mestre é responsável pela distribuição de tarefas e os processos escravos pela disseminação de resultados parciais no sistema. Resultados experimentais dessa implementação são exibidos e analisados, assim como algumas características relevantes ao desempenho global encontradas no uso da biblioteca PVM para esta arquitetura. De um modo geral obtivemos em média para os problemas investigados uma eficiência da execução paralela da ordem de 98% em comparação à execução serial.
APA, Harvard, Vancouver, ISO, and other styles
37

Kosteski, Nikola. "Branch plate-to-rectangular hollow structural section connections." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2001. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/NQ63606.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Nair, Asha V. "Molecular basis of polyketide β-methyl branch formation." Thesis, University of Bristol, 2013. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.629354.

Full text
Abstract:
Type I modular polyketide synthases (PKSs) are giant multi-functional enzymes that catalyse the assembly of a range of bioactive natural products. The bacillaene synthase (PksX) from Bacillus subtilis is a member of the trans-A T PKS family and is responsible for the biosynthesis of the broad-spectrum antibiotic bacillaene. As in other trans-A T synthases the bacillaene system employs a five enzyme "RCS cassette" to introduce a distinctive ft-methyl branch into the final functional product. In this thesis I describe the structural and functional characterisation of three of the bacillaene synthase RCS cassette enzymes PksG, PksR and Pksl. These enzymes are responsible for the final three steps in ft-methyl branch formation in the bacillaene pathway and have been characterised using a combination of macromolecular X-ray crystallography, molecular biology and enzymological approaches. These studies not only provide significant insight into the formation of β-methyl branches during polyketide biosynthesis, but also reveal new routes towards the exploitation of this unique biosynthetic activity for the derivatisation of natural product based pharmaceutical/agrochemical agents.
APA, Harvard, Vancouver, ISO, and other styles
39

HO, CHING-YUAN, and 何慶媛. "A Study on the branch performance related to the branch managers'' competence and the locations of branchs." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/357q32.

Full text
Abstract:
碩士
國立中山大學
高階經營碩士班
101
In Taiwan, the connections between clients and the business of personal banking rely on physical channels. Though the changes of technology speed up the development of on-line banking, voice mail banking, or even mobile banking, the profits contributed by those new channels still relatively low. As the homogenization of finance products is growing and the standardization of processing services and sales is operating, the efficiency of branches operations are impacting and mutual acting by the locations, the differentiation of client grouping, and the capability of branch managers. Bank C has been expending rapidly from 58 to 147 branches by three major acquisitions in the past ten years. Since high density of branches and huge cost of their licenses, those licenses became a limited resource in Taiwan. And, the strategies of branch locating are certainly the key of success. The number of branches locating in great Taipei area among local and foreign banks is actually occupying the 50% of their total branches. Varied locations like major metropolitan, secondary metropolitan, or county/town districts will face varied client grouping and resources, and which differences are huge. However, most of the models of operating personal banking are standardized but customized. Thus, the major topics of this research are figure out what are the job skills to the branch manage, what key functions should be contained in those job skills, and if the strategies of locating can raise the performance of branch as considering the operation of branches. Looking for the relativities of the differentiations of branch operations, evaluations of locating, and the performance of branches by analyzing, sorting, crossing comparing, and regrouping. The results have been summarized as below: (1) Under the model of the centralized management, the profits contributed by varied client groupings by locations are huge different. We can find the strength and weakness via analyzing the structures of client grouping of each brance. (2) Founding effective factors of branch locations will assist the strategy of branch location. A correct location will absolutely impact the performance of the branch. Building up a correct strategy of location will certainly enhance the performance of branches. (3) Paring the rating of branch locations and the performance of branch operations, we can thus get the list of excellent branch managers. Their maintenance of customer relationships and quality of sales and services will be able to enhance the growth of overall performance. (4) Based on the competency analysis, placing the "right talent into the right branch to support the continual improvement on its overall performance". Eventually to help the insufficient location coverage of the branch. (5) Creating the individual performance evaluation mechanism based on the banking standard operation process to establish the opportunity of differentiation for each branch. Suggestion as followed (1)In order to maintain basic performance when the branch located in unaffordable location, the branch manager should focus on strengthening the service to the accounts and encouraging the team work in order to place right associates when allocating the manpower. (2)In order to prompt the performance of each branch, we should add the individual competency evaluation for each branch manager when conducting the location review and evaluation. We should find out if the branch manager is suitable to the branch based on the result of individual competency analysis when we see there is no concern on the branch location.
APA, Harvard, Vancouver, ISO, and other styles
40

Liao, Chien Liang, and 廖建量. "Reducing Branch Penalty with Bi-directional Branch Buffer." Thesis, 1994. http://ndltd.ncl.edu.tw/handle/86725613542238672653.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Su, Chien-Chang, and 蘇建彰. "Low-power Branch Target Buffer Scheme by using Taken Branch Trace." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/79847393523536196628.

Full text
Abstract:
碩士
大同大學
資訊工程學系(所)
96
In this thesis, we proposed a new branch prediction scheme called Taken Branch Prediction (TBP) to replace traditional architecture. The major mechanism of TBP is that the traditional Branch Target Buffer (BTB) is replaced by a new table called Taken Branch Target Buffer (TBTB). The traditional BTB is lookuped every fetch cycle, but the TBTB is only lookuped when there is instruction seems likely to be a taken branch. As the instruction is likely to be a normal instruction, the TBP is not active. By dynamically profiling the taken branch trace during program execution, our new scheme will almost achieve the goal of one BTB lookup per taken branch. We use Wattch and SPEC CPU2000 integer and floating-point benchmarks to evaluate the power and performance of this architecture. The simulation tool is the SimpleScalar which is cycle-accurate with cycle-by-cycle. The experimental results show that our scheme can reduce the branch prediction energy consumption by 39.86% and 52.54% for integer and floating-point benchmarks respectively with only 0.66% performance loss in average for SPEC CPU2000.
APA, Harvard, Vancouver, ISO, and other styles
42

Hsu, Tsun Hsiang, and 許春香. "Branch Business Strategy Analysis in SME Financing C Bank T Branch Case." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/wt3buv.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Fu, Guan-cheng, and 傅冠程. "Reducing Dynamic Branch Predictor Table Lookups by Dynamically Collecting Non-branch Instructions." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/29730043936615236092.

Full text
Abstract:
碩士
大同大學
資訊工程學系(所)
97
This thesis proposes a new scheme of dynamically collecting non-branch instructions to reduce the accesses of the dynamic branch predictor. Accordingly, the power consumption of the traditional dynamic branch predictor exercised every cycle can be reduced. In the proposed scheme, the branch target buffer, BTB, is modified to record the number of non-branch instructions for the corresponding entry. In the instruction fetch stage, the BTB is read out not only the target address but also the number of upcoming non-branch instructions till encountering the next branch instruction. According to those numbers, the proposed scheme can save the power consumption of the dynamic branch predictor by eliminating unnecessary lookups. Wattch and SPECcpu2000 integer and floating-point benchmarks are used to evaluate the power and the performance of the proposed scheme. SimpleScalar v3.0 is employed to simulate the proposed architecture. Simulation results show that the dynamic branch predictor power is reduced by 63.79% and 76.58% in average for SPECint2000 and SPECfp2000 respectively with negligible performance loss as compare to the based architecture.
APA, Harvard, Vancouver, ISO, and other styles
44

Pope, Turia Raquel. "Welcome to the branch /." 2006. http://hdl.handle.net/10156/1576.

Full text
APA, Harvard, Vancouver, ISO, and other styles
45

Chen, Ruey-Feng, and 陳瑞峰. "Multiple-Branch Testable Design." Thesis, 1999. http://ndltd.ncl.edu.tw/handle/12162736621829506454.

Full text
Abstract:
碩士
國立中興大學
資訊科學研究所
87
Design for Testability(DFT) techniques, which ease the testing problem of complex VLSI chips and system, have become well-known, and are incorporated in many commercial logic synthesis tools to provide testable designs. The approach is based on the addition of test behavior, which is the behavior of the design in test mode. The normal-mode design behavior and test-mode test behavior are combined and synthesized together to produce a testable design with inserted BIST structures. Results show that when the testability insertion procedure is used to modify a behavior before synthesis, the resulting synthesized physical implementation is indeed more easily tested than an implementation synthesized directly from the original behavior. Multiple-branch CASE statements in a behavioral description inherently decrease the testability of the resulting circuit. If one of the multiple-branch in CASE statement has a low probability, then it will possible not be tested as well as the other because of fewer patterns are applied to that branch. Althrough several BIST methodologies have been developed for high-level synthesis, they generally do not consider in depth the testability of CASE statement from the viewpoint of testability analysis.The key aspect of our approach is the use of behavioral testability methods that quantify the controliability and obserability of signals embeded within a behavior to solve problem caused by CASE statements. By using methods to quantify the testability of behaviors, we can modify behaviors before design synthesis even begins so as to ensure that the resulting circuit, when synthesized by a general purpose high level synthesis tool, will be easily testable using a simple Built-In Self-Test(BIST) scheme.
APA, Harvard, Vancouver, ISO, and other styles
46

Huang, Ling-Yu, and 黃鈴喻. "An Empirical Study of Bank Branch Network, Branch Activity and Performance in Taiwan." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/72455998111005480236.

Full text
Abstract:
碩士
南台科技大學
財務金融系
95
This paper investigates the evolution of Taiwan bank branch networks and uses OLS to assess the implications of these developments by examining a series of branch performance measures, and chooses Probit model to analyse the factors affecting a bank’s branch activity. We choose commercial banks in Taiwan in 2005 as our empirical samples. The key findings show that bank branch networks have transformed from small-sized networks (11 to 50 branches) into medium-sized networks (51 to 100 branches) and there are some banks even with the largest networks (more than 150 branches). However, there is no positive relationship between branch network size and bank branch performance. On the contrary, banks with small-sized networks have better performance, though they have higher average deposit interest costs. Banks with the largest networks have lower average deposit interest costs, but it is not statistically significant. If branch network density in Taipei and Kaohsiung metropolises raise, it would increase deposit-per-branch and loans-per-branch, but would increase deposit interest costs. Furthermore, to increase branch network density in non-metropolises, to raise loans-based market concentration and to operate in markets with higher income growth could improve the bank performance. It is not necessarily for banks to herd to set up or move branches in Taipei and Kaohsiung metropolises. We have no significant evidences to indicate that over-banking or over-crowded exists in Taiwan banking industry. Thus, our results suggest that the authority should allow banks to establish de novo branches. In a bank’s branch activity, as market concentration decreases, bank size increases, market size increases or market share decreases, a bank is more likely to enforce the branch activity. When a market has already branches, the bank has higher build, cut down and move and M&A activity rates. But the higher market share, the lower cut down and move and M&A activity rates. If market size, bank size or bank specialization increases, the bank would have higher transform activity rate. Comparing with the build, cut down and move and M&A activity rates, the transform activity rate depends on different factors. Moreover, whether large and small banks carry out the branch activity or not is to depend on different factors. As market concentration decreases or bank size increases, small banks are more likely to carry out the branch activity. But as market size increases, large banks are more likely to carry out the branch activity.
APA, Harvard, Vancouver, ISO, and other styles
47

Hicks, Illya VaShun. "Branch decompositions and their applications." Thesis, 2000. http://hdl.handle.net/1911/19507.

Full text
Abstract:
Many real-life problems can be modeled as optimization or decision problems on graphs. Also, many of those real-life problems are NP-hard. One traditional method to solve these problems is by branch and bound while another method is by graph decompositions. In the 1980's, Robertson and Seymour conceived of two new ways to decompose the graph in order to solve these problems. These ingenious ideas were only by-products of their work proving Wagner's Conjecture. A branch decomposition is one of these ideas. A paper by Arnborg, Lagergren and Seeseshowed that many NP-complete problems can be solved in polynomial time using divide and conquer techniques on input graphs with bounded branchwidth, but a paper by Seymour and Thomas proved that computing an optimal branch decomposition is also NP-complete. Although computing optimal branch decompositions is NP-complete, there is a plethora of theory about branchwidth and branch decompositions. For example, a paper by Seymour and Thomas offered a polynomial time algorithm to compute the branchwidth and optimal branch decomposition for planar graphs. This doctoral research is concentrated on constructing branch decompositions for graphs and using branch decompositions to solve NP-complete problems modeled on graphs. In particular, a heuristic to compute near-optimal branch decompositions is presented and the heuristic is compared to previous heuristics in the subject. Furthermore, a practical implementation of an algorithm given in a paper by Seymour and Thomas for computing optimal branch decompositions of planar graphs is implemented with the addition of heuristics to give the algorithm a "divide and conquer" design. In addition, this work includes a theoretical result relating the branchwidth of planar graphs to their duals, characterizations of branchwidth for Halin and chordal graphs. Also, this work presents an algorithm for minor containment using a branch decomposition and a parallel implementation of the heuristic for general graphs using p-threads.
APA, Harvard, Vancouver, ISO, and other styles
48

Lin, Kai-Min, and 林楷閔. "CPW-Fed Branch Line coupler." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/94757936144637307799.

Full text
Abstract:
碩士
國立臺灣大學
電信工程學研究所
95
Abstract In the beginning of this thesis, the basic theory and characteristics of quadrature hybrid coupler, which is also known as branch-line couplers are introduced. Afterwards, we make use of 3-D coupling structures to realize branch-line couplers in multi-layered PCB. In practice, due to the quarter-wave length requirement, the bandwidth of a branch-line coupler hybrid is limited to 10-20%. But, the branch-line coupler we designed is different from the conventional structure. The broadband branch-line coupler has been developed to enlarge operation bandwidth by enhanced impedance matching design at port junctions. Hence, we achieve wideband performance by only one section. At first, we make use of CPW-fed microstrip method to implement the 3-D coupling structures in a single-layered PCB. Using the 3-D coupling structures, the uniplanar circuit design implemented in a single layered PCB can be extended to multi-layered design. This kind of branch-line coupler has the advantages of wideband, flat response on transmission band, and DC current blocking. Since the microstrip lines used in the CPW-fed microstrip branch-line coupler possess serious dispersion phenomenon and radiation loss. One can use strip lines to avoid these disadvantages. Therefore, we further use the method of CPW-fed strip lines to realize the 3D coupling structures to modify the branch-line coupler. There are two main techniques for the transition between a microstrip and CPW. One is the electrical contact, and the other is the electromagnetic coupling. The former usually uses via hole for the electrical contact. The mechanism of the proposed transition for the later is base on the electromagnetic coupling between stripline quarter-wavelength open-stub and CPW quarter-wavelength short-stub. This transition design is capable of inducing strong coupling between CPW and stripline around the resonance frequency. Accordingly, we design two kinds of CPW-fed branch-line coupler in multi-layered PCB to improve insertion loss and radiation loss. Observed from the measurement data, the insertion loss of stripline type is better than that of microstirp type at the same center frequency.
APA, Harvard, Vancouver, ISO, and other styles
49

Hu, Yau-Chong, and 胡耀中. "Low Power Branch Target Buffer." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/73207446248390639056.

Full text
Abstract:
碩士
國立交通大學
資訊工程系所
93
This research reduces power consumption of branch target buffer (BTB) --- a commonly used dynamic branch prediction component. Conventional BTB is looked up while instruction fetcher is fetching an instruction. The result returned from BTB tells instruction fetcher the address of the next instruction. Since branch instructions occupy a small portion of total executed instructions, most BTB look-up operations are only waste power. We can reduce its power consumption by reducing useless BTB look-up counts. By recording the positions of branch instructions during run time, we can determine what time should instruction fetcher perform BTB look-up operation. This design is evaluated by two metrics: energy consumption and performance loss. The experimental result shows this design effectively saves energy consumption with only a little performance loss.
APA, Harvard, Vancouver, ISO, and other styles
50

Chiao, Wei-Hau, and 喬偉豪. "Low-Power Branch Target Buffer." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/05837896073103115941.

Full text
Abstract:
博士
國立交通大學
資訊科學與工程研究所
96
This thesis addresses on low-power branch target buffer design. Through recording the number of non-branch instructions between a branch instruction and its subsequent instruction on execution path. The unnecessary BTB lookups are reduced. Through block address based indexing and entry buffering, the BTB access energy is also reduced. In order to reduce BTB leakage power, a decay-based power manager is applied and an entry pre-activation technique that makes the decay-based power manager being more efficient is developed. On the other hand, we also address on the storage cost reduction techniques for BTB. Through sharing the tag memory of instruction cache to BTB, the BTB entry length is shortened. Moreover, through generating the branch target address early, the number of BTB entries can be reduced. These two techniques not only reduce the BTB storage, but also reduce both BTB dynamic and leakage power significantly. Finally, we integrate the above techniques to further reduce BTB power consumption with tolerable performance degradation.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography