Dissertations / Theses on the topic 'Bonding technology'

To see the other types of publications on this topic, follow the link: Bonding technology.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Bonding technology.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Parameswaran, Lalitha. "Silicon pressure sensor using wafer bonding technology." Thesis, Massachusetts Institute of Technology, 1993. http://hdl.handle.net/1721.1/12471.

Full text
Abstract:
Thesis (M.S.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1993.
Includes bibliographical references (leaves 101-105).
by Lalitha Parameswaran.
M.S.
APA, Harvard, Vancouver, ISO, and other styles
2

Devoto, Roberto J. "Micromachined infrared detector using wafer bonding technology." Thesis, Massachusetts Institute of Technology, 1996. http://hdl.handle.net/1721.1/10579.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Fiore, Daniel F. "High strength bonding of sapphire." Link to electronic thesis, 2002. http://www.wpi.edu/Pubs/ETD/Available/etd-0829102-155924.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Parameswaran, Lalitha. "Integrated silicon pressure sensors using wafer bonding technology." Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/10451.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1997.
Includes bibliographical references (p. 151-156).
by Lalitha Parameswaran.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
5

Fan, Andy 1976. "Three dimensional integration technology using copper wafer bonding." Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/37915.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2006.
Includes bibliographical references (p. 216-219).
With 3-D integration, the added vertical component could theoretically increase the device density per footprint ratio of a given chip by n-fold, provide a means of heterogeneous integration of devices fabricated from different technologies, and reduce the global RC delay to a non-factor in circuits by using smarter 3-D CAD tools for optimizing device placement. This thesis work will focus primarily on the development and realization of a viable 3-D flow fabricated within MTL. Specifically, the presentation will attempt on answering these questions in regards to 3-D: 1. What enabling technologies were needed for 3-D to work ? 2. Does it really work ? 3. Will the "3-D heat dissipation problem" prevent it from working ? 4. What applications is it good for ? Referring to the first item, a viable 3-D integration flow has been developed on both the wafer-and-die-level, and the enabling technologies were the following: Low temperature Cu-Cu thermocompression bonding, an aluminum-Cu based temporary laminate structure used stabilizing the handle wafer - SOI wafer bond, and tooling optimization of the die-die bonder setup in TRL.
(cont.,) Next, nominal feasibility of the 3-D flow was demonstrated by fabricating a 21-stage and 43-stage CMOS ring oscillators, where each single CMOS inverter / buffer stage was constructed by connecting NMOS-only devices from one substrate with PMOS-only devices from a separate substrate. Proof-of-concept was accomplished when all 92 Cu-Cu bonds, 204 thru-SOI Cu damascene vias, and 56 pairs of MOSFETs communicated simultaneously to produce a 2.75 MHz (43-stage) and 5.5 MHz (21-stage) oscillators, ringing rail-to-rail at 5 V Vdd under proper Vt adjustments on the SOI-PMOS using integrated backgates. Furthermore, to combat the perceived heat dissipation problem in 3-D, this work focused on using the Cu-Cu interlayer bond as heat dissipators, with Cu planes working as flux spreaders and Cu vias as direct heat conduits. Finally, 3-D RF passive integration onto existing chips can be made feasible, under certain device performance trade-offs, by using cobalt magnetic shielding, which offers at least a -10 dB throughout 0-20 GHz, with a max isolation of -24 dB at 13 GHz, at +4 dBm reference input power.
by Andy Fan.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
6

Ng, Kay-Yip. "A liquid-shear-stress sensor using wafer-bonding technology." Thesis, Massachusetts Institute of Technology, 1990. http://hdl.handle.net/1721.1/13434.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Fritz, Mark A. Cassidy Daniel Thomas. "Die bonding of diode lasers /." *McMaster only, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Prochaska, A. "Silicon micromachining technology for drop-on-demand liquid dispensers." Thesis, Queen's University Belfast, 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.368466.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Choi, Yuk-ning Alta. "Repair technology for cracked metallic structures using composite materials /." Hong Kong : University of Hong Kong, 1999. http://sunzi.lib.hku.hk/hkuto/record.jsp?B21213239.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Wennergren, Karl Fredrik. "Metal Filling of Through Silicon Vias (TSVs) using Wire Bonding Technology." Thesis, KTH, Mikro- och nanosystemteknik, 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-145552.

Full text
Abstract:
Through Silicon Vias (TSVs) are vertical interconnections providing the shortest possible signal paths between vertically stacked chips in 3D packaging. In this thesis, TSVs are fabricated and two novel approaches for the metal filling of TSVs are investigated. A wire bonder is utilized to apply TSV core material in the form of gold stud bumps. The metal filling approaches are carried out by 1) squeezing stud bumps down the TSV holes by utilizing a wafer bonder and 2) stacking stud bumps on the outer periphery of the TSV holes and thereby forcing the material further down. Both approaches have successfully filled TSV holes of varying depths and no voids have been observed. The squeezing approach reaches measured depths of up to 52.9 μm and the stacking approach reaches depths of up to 100 μm.
APA, Harvard, Vancouver, ISO, and other styles
11

Chan, Yu Hin. "Optimization of metallization and process variables in low temperature wire bonding technology /." View Abstract or Full-Text, 2003. http://library.ust.hk/cgi/db/thesis.pl?MECH%202003%20CHAN.

Full text
Abstract:
Thesis (M. Phil.)--Hong Kong University of Science and Technology, 2003.
Includes bibliographical references (leaves 129-132). Also available in electronic version. Access restricted to campus users.
APA, Harvard, Vancouver, ISO, and other styles
12

Lin, Huamao. "Application of CMP and wafer bonding for integrating CMOS and MEMS Technology." Thesis, University of Edinburgh, 2007. http://hdl.handle.net/1842/12422.

Full text
Abstract:
Microelectromechanical systems (MEMS) can provide an interface between the digital electronic world and the analog physical world. Depended on the transduction mechanisms, various micromechanical structures are designed to ensure the transductions with highest efficiency. As a consequence, MEMS devices have to be fabricated using a broad range of techniques, and often require integration with the CMOS circuitry. The feasibility of a new fabrication approach has been investigated in this thesis, which uses chemical mechanical polishing (CMP) and oxygen plasma assisted low temperature wafer bonding, to integrate prefabricated MEMS and CMOS devices. Fabricating MEMS and CMOS devices on separate wafers enables the optimisation of each technology separately. However, to integrate them requires low temperature bonding of processed wafers, connecting the bonded wafer pair and bringing the electrical signals to the top surface. Test structures have been used to investigate the feasibility of bonding MEMS and CMOS wafers to create an integrated system with electrical connections. Bonding and thinning of prefabricated wafers has been demonstrated using a CMP enabled surface planarisation process and plasma assisted low-temperature wafer bonding. Inter-wafer connections can be achieved using two fabrication methods. With oxide to oxide bonding method, resistances of 3.8 – 5.2 Ω have been obtained for the via chain test structures with 9-13 contact vias, whilst an average specific contact resistivity of 1.7 x 10-8Ω. cm2 has been achieved form the single via test structure. Direct electrical connections between wafers have also been implemented during the bonding anneal stage with an average contact resistance of 2.6x10-8 Ω.cm2.
APA, Harvard, Vancouver, ISO, and other styles
13

Askar, Raid. "The role of tin in surface bonding of CO to Pt(111)." Thesis, KTH, Skolan för informations- och kommunikationsteknik (ICT), 2011. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-50842.

Full text
Abstract:
We have used synchrotron radiation based photoelectron spectroscopy to study tin induced modifications in surface bonding of CO to Pt(111). Tin can form both so-called surface alloys, where tin replaces surface platinum atoms and ordered adatom structures where tin stays on top of the surface. The alloy is formed after annealing to 600 °C. The results from Pt(111) are in excellent agreement with previous literature, with CO binding in top site and in bridge site. On Pt(111)-Sn alloys we observe the same species, but with a reduced bridge site emission. Tin does not take direct part in the chemical bond to CO, but it influences the nearby Pt. On the overlayer structure we observe that CO binds directly to tin. Thus we conclude that the form of tin on the surface plays an important role in the surface chemistry of CO to tin modified Pt surfaces.
APA, Harvard, Vancouver, ISO, and other styles
14

Saeidi, N. "A novel micropackage technology for implanted devices using gold-silicon eutectic wafer bonding." Thesis, University College London (University of London), 2012. http://discovery.ucl.ac.uk/1357936/.

Full text
Abstract:
The packaging of implanted devices is crucial if high reliability is to be achieved with an intended operating lifetime of decades. The traditional approach with a welded metal enclosure is too large for many of the new devices that are being developed. Wafer bonding, which allows for simultaneously packaging of all the small devices on a wafer, is becoming a cost effective key technology for packaging of microelectromechanical systems (MEMS) and chip scale devices. A number of bond processes exist that have been utilized for packaging applications. However, these packaging methods are usually application specific. This thesis explores a method, which has the potential to become a standard MEMS/CMOS packaging technology. A gold-silicon bond process was developed to bond cap wafers to device wafers. The process, initially applied on experimental wafers, was designed in such a way that it can be applied, without modification, on CMOS processed wafers. The key steps in this technique are post-processing of device wafer, to define gold seal rings around the device area, fabrication of the cap wafer with corresponding silicon seal rings, and finally bonding these two wafers together according to the developed bond process. Various characterization techniques were employed to quantify and qualify the bonds, including reliability and accelerated aging. This thesis discusses the fabrication process for the developed technique and the challenges associated with all the steps of wafer fabrication and bonding. The result of this study indicated that the developed process can be employed for micropackaging of chip scale devices including MEMS and biomedical implants. An important feature is the ability to incorporate a humidity sensor as part of the active device. Thus, a thin film humidity sensor was also designed, fabricated and characterized as part of this research work. The sensor allows the internal humidity to be measured after the sealed device is implanted thus avoiding the danger of using the device if moisture gets in. Careful attention was paid to the effect of processing steps, in particular etching process, on the sensor performance. A set of experiments was designed to investigate the modifications in physical and chemical characteristics of the moisture sensing film when subjected to wet and dry etching.
APA, Harvard, Vancouver, ISO, and other styles
15

Lindenmann, Nicole [Verfasser]. "Photonic Wire Bonding as a Novel Technology for Photonic Chip Interfaces / Nicole Lindenmann." Karlsruhe : KIT Scientific Publishing, 2018. http://www.ksp.kit.edu.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Joung, Yeun-Ho. "Electroplating bonding technology for chip interconnect, wafer level packaging and interconnect layer structures." Diss., Available online, Georgia Institute of Technology, 2004:, 2003. http://etd.gatech.edu/theses/available/etd-04052004-180025/unrestricted/joung%5Fyeun-ho%5F200312%5Fphd.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Barkley, Edward Robert 1977. "Wafer bonding of processed Si CMOS VLSI and GaAs for mixed technology integration." Thesis, Massachusetts Institute of Technology, 2001. http://hdl.handle.net/1721.1/8368.

Full text
Abstract:
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, Februaru 2002.
Includes bibliographical references (p. 91-94).
The successful bonding of bare thinned Si SOI wafers to bare GaAs wafers in previous research has proven to be an important first step in achieving integration of Si electronics with GaAs optoelectronic devices. The thinning of the SOI wafer has been shown to be a successful solution to the problem of the thermal expansion coefficient mismatch between Si and GaAs, allowing for the potential dense integration of mixed optoelectronic and electronic technologies. This research takes the next logical step toward that end by bonding Si wafers with simulated full back-end processing to GaAs wafers. The back-end processing simulation consists of depositing 1000[Angstroms] of Al, patterning the Al into 5[mu]m serpentine lines on a 5[mu]m pitch, covering the Al with a PECVD oxide, and performing CMP planarization of the oxide. The 1000[Angstroms] variations caused by the Al layer are consistent with surface profiles taken from fully processed SOI wafers obtained from IBM. The result is that these "simulation" wafers model the difficulties presented with bonding fully processed wafers; namely the temperature constraints caused by the existence of buried Al metal and the topography created by the patterned metal. The entire process, including the bonding and post-bond anneal, is carried out at temperatures below 45° C, making it compatible with a fully processed SOI CMOS wafer. The use of dielectric CMP has become a common back-end processing step. The wafer bonding in this work relies on CMP technology to planarize PECVD oxide deposited on the bonding surface of both wafers. The combination of CMP with post CMP cleaning methods results in a PECVD oxide surface with an order of magnitude reduction in the r.m.s. roughness, rendering the surface smooth enough to facilitate wafer bonding. The future goal of this project is to bond fully processed Si CMOS wafers to GaAs wafers containing optoelectronic devices and to test the feasibility of creating interconnects through the bond interface.
by Edward Robert Barkley.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
18

Andersson, Viktor, and Andreas Larsson. "Project ABSS : Adhesive bonding of stainless steels." Thesis, Högskolan i Skövde, Institutionen för ingenjörsvetenskap, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:his:diva-13707.

Full text
Abstract:
This report aims to increase the scientific knowledge about long-term prospects for the adhesive and adhesive joints for bonding of stainless steels. The effects of water, temperature and chemicals on the adhesive and adhesive joints are investigated. Stainless steel plates are pretreated with a primer and isopropanol, there after joined together with single lap modeling. The strength of the joint is tested with a tensile test and additionally a watertightness test is performed to determine if the joints are watertight. For this project three versions of stainless steels is used and two different technologies of two- part adhesives, silicone and silane-modified polymer and one technology of tape, a double coated acrylic foam tape are tested. The result shows that all the adhesives fails cohesively and that tape fails partly adhesively. Result shows that all tests are affected by water, temperature and chemicals on different levels but tape is affected the most with a minimum of 40% loss in shear strength. Watertightness test shows that aged tape joints are not watertight. The polymer shows no signs of decreasing in shear strength and is watertight, but does become more viscous by aging. The report shows that a possible combination of adhesive and pretreatment that can withstand the effects of water, temperature and chemicals is found. The polymer presents a possibility to bond stainless steel with a simple pretreatment. Tape didn’t pass the test in a suitable way but presents opportunities if a sufficient pretreatment can be found.
APA, Harvard, Vancouver, ISO, and other styles
19

蔡玉寧 and Yuk-ning Alta Choi. "Repair technology for cracked metallic structures using composite materials." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 1999. http://hub.hku.hk/bib/B31222420.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

McNeil, Vincent Maurice. "A thin-film silicon microaccelerometer fabricated using electrochemical etch-stop and wafer bonding technology." Thesis, Massachusetts Institute of Technology, 1994. http://hdl.handle.net/1721.1/12013.

Full text
Abstract:
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1994.
Includes bibliographical references (p. 343-360).
by Vincent Maurice McNeil.
Ph.D.
APA, Harvard, Vancouver, ISO, and other styles
21

Borgede, Fabian. "TOOL DEVELOPMENT FOR HIGH RATE ADHESIVE BONDING OF AIRCRAFT CARGO DOORS." Thesis, Luleå tekniska universitet, Institutionen för teknikvetenskap och matematik, 2018. http://urn.kb.se/resolve?urn=urn:nbn:se:ltu:diva-70195.

Full text
Abstract:
The project aimed to develop a new production tool for cargo doors to single aisle airplanes using adhesive bonding as the method to join the different parts of the structure of the door. The tooling is required to support the structure of the door and create an equal pressure distribution during the adhesive curing process in the autoclave. It was performed in close collaboration with SAAB’s efforts in Clean Sky 2 where a cargo door for tomorrow’s commercial airplane was designed and developed. The door is manufactured with chromate free adhesive bonding. The tool developed enabled the high production rate demanded if the door becomes a success. The tool is develop following the method presented in “Product Design and Development” written by Ulrich and Eppinger. This project was done as a Master thesis and resulted in a manufactured test tool. A full scaled tool was designed in Catia V5, the manufacturing cost and production rate for the theoretical tool were also analysed. The tool surpasses the production rate target with 26% and the preparation time when using adhesive bonding is reduced with 76% comparing to not using a tool. To manufacture the number of tools needed, the total cost became 25% lower than the original budget.
APA, Harvard, Vancouver, ISO, and other styles
22

Bayraktar, Omer. "Beam Switching Reflectarray With Rf Mems Technology." Master's thesis, METU, 2007. http://etd.lib.metu.edu.tr/upload/2/12608811/index.pdf.

Full text
Abstract:
In this thesis 10x10 reconfigurable reflectarray is designed at 26.5 GHz where the change in the progressive phase shift between elements is obtained with RF MEMS switches in the transmission lines of unit elements composed of aperture coupled microstrip patch antenna (ACMPA). The reflectarray is illuminated by a horn antenna, and the reflected beam is designed to switch between broadside and 40°
by considering the position of the horn antenna with respect to the reflectarray. In the design, the transmission line analysis is applied for matching the ACMPA to the free space. The full wave simulation techniques in HFSS are discussed to obtain the phase design curve which is used in determining two sets of transmission line lengths for each element, one for the broadside and the other for switching to the 40°
at 26.5 GHz. The switching between two sets of transmission line lengths is sustained by inserting RF MEMS switches into the transmission lines in each element. Two types of RF MEMS switches, series and shunt configurations, are designed for the switching purpose in the reflectarray. The phase errors due to nonideal phase design curve and type of the RF MEMS switch are reduced. The possible mutual coupling effects of the bias lines used to actuate the RF MEMS switches are also eliminated by the proper design. To show the validity of the design procedure, a prototype of 20x20 reflectarray composed of ACMPA elements is designed at 25GHz and produced using Printed Circuit Board (PCB) technology. The measurement results of the prototype reflectarray show that the main beam can be directed to the 40°
as desired. The process flow for the production of the reconfigurable reflectarray is suggested in terms of integration of the wafer bonding step with the in-house standard surface micromachined RF MEMS process.
APA, Harvard, Vancouver, ISO, and other styles
23

Lindenmann, Nicole [Verfasser], and C. [Akademischer Betreuer] Koos. "Photonic Wire Bonding as a Novel Technology for Photonic Chip Interfaces / Nicole Lindenmann ; Betreuer: C. Koos." Karlsruhe : KIT-Bibliothek, 2017. http://d-nb.info/1149522577/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Goh, Wang Ling. "Wafer bonding technology for the production of dielectrically isolated silicon substrates incorporating buried metal silicide layers." Thesis, Queen's University Belfast, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.295389.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Gahide, Severine. "COMBINATION OF HYDROENTANGLEMENT AND FOAM BONDING TECHNOLOGIES FORWOOD PULP AND POLYESTER FIBERS IN WET LAY NONWOVEN FABRICS." NCSU, 1999. http://www.lib.ncsu.edu/theses/available/etd-19990216-135019.

Full text
Abstract:

This project searches for synergism between two binder technologies, specifically 1) low levels ofhydroentanglement energy which avoid excessive fiber loss but do not give adequate fabric strength, abrasionresistance or strain recovery, and 2) low levels of binder which do not degrade fabric aesthetics. The mainsteps were to 1) determine the fiber loss while hydroentangling, by testing three fabric weights and severalspecific energy levels for a 50% wood pulp and 50% polyester, and then 2) combine both technologies, fortwo fiber blends, at three levels of specific energy and four levels of binder add on. We found that: 1. Thecarrier screen mesh size, during hydroentanglement, was a critical factor for making the desired fabrics. 2.The fiber loss during hydroentanglement increases linearly with increasing specific energy, in the rangestudied. 3. The fabric basis weight has a very weak influence on the fiber loss during hydroentanglement. 4.Fabrics hydroentangled from one side only or on both sides lose the same amount of fibers. 5. The physicalproperties -strength, load at 5% strain, abrasion resistance- are greatly improved with an add-on of binder,while different levels of hydroentanglement energy input were found to be less significant. 6. Thehydroentangled and foam bonded fabrics are softer than those which were foam bonded only. 7. Theaddition of foam bonding up to 5% did not affect the softness of the hydroentangled fabrics. 8. The hydrogenbonding effect is shown to be significant at these levels of hydroentanglement and binder add-on. 9. Thefabric bending rigidity can be correlated with the Young's modulus of the bonded fabric for a 60% woodpulp fabric. 10. The abrasion resistance behavior is very different depending on the side tested: foam free orfoamed.

APA, Harvard, Vancouver, ISO, and other styles
26

HAMMER, VICTORIA A. "THE INFLUENCE OF INTERACTION ON ACTIVE LEARNING, LEARNING OUTCOMES, AND COMMUNITY BONDING IN AN ONLINE TECHNOLOGY COURSE." University of Cincinnati / OhioLINK, 2002. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1014665480.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Khan, Sadia Arefin. "Electromigration analysis of high current carrying adhesive-based copper-to-copper interconnections." Thesis, Georgia Institute of Technology, 2012. http://hdl.handle.net/1853/44885.

Full text
Abstract:
"More Than Moore's Law" is the driving principle for the electronic packaging industry. This principle focuses on system integration instead of transistor density in order to achieve faster, thinner, and smarter electronic devices at a low cost. A core area of electronics packaging is interconnection technology, which enables ultra-miniaturization and high functional density. Solder bump technology is one of the original, and most common interconnection methods for flip chips. With growing demand for finer pitch and higher number of I/Os, solder bumps have been forced to smaller dimensions and therefore, are subjected to higher current densities. However, the technology is now reaching its fundamental limitations in terms of pitch, processability, and current-handling due to electromigration. Electromigration in solder bumps is one of the major causes of device failures. It is accelerated by many factors, one of which is current crowding. Current crowding is the non-uniform distribution of current at the interface of the solder bump and under-bump metallurgy, resulting in an increase in local current density and temperature. These factors, along with the formation of intermetallic compounds, can lead to voiding and ultimately failure. Electromigration in solder bumps has prevented pitch-scaling below 180-210 microns, producing a shift in the packaging industry to other interconnection approaches, specifically copper pillars with solder. This research aims to explore the electromigration resistance of an adhesive-based copper-to-copper (Cu-Cu) interconnection method without solder, which is thermo-compression bonded at a low temperature of 180C. While solder bumps are more susceptible to electromigration, Cu is capable of handling two orders of magnitude higher current density. This makes it an ideal candidate for next generation flip chip interconnections. Using finite element analysis, the current crowding and joule heating effects were evaluated for a 30 micron diameter Cu-Cu interconnection in comparison with two existing flip chip interconnection techniques, Cu pillar with solder and Pb-free solder. A test vehicle (TV) was fabricated for experimental analysis with 760 bumps arranged in an area-array format with a bump diameter of 30 micron. Thermo-mechanical reliability of the test vehicle was validated under thermal cycling from -55C to 125C. The Cu-Cu interconnections were then subjected to high current and temperature stress from 1E4 to 1E6 amps per square centimeter at a temperature of 130C. The results establish the high thermo-mechanical reliability and high electromigration resistance of the proposed Cu-Cu interconnection technology.
APA, Harvard, Vancouver, ISO, and other styles
28

Yung, Chi-Fan 1973. "A process technology for realizing integrated inertial sensors using deep reactive ion etching (DRIE) and aligned wafer bonding." Thesis, Massachusetts Institute of Technology, 1999. http://hdl.handle.net/1721.1/80148.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Jha, Gopal Chandra. "Copper to copper bonding by nano interfaces for fine pitch interconnections and thermal applications." Thesis, Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/22588.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Tesař, Petr. "Technologie výroby dveří kolejových vozidel pomocí nových lepících systémů." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2011. http://www.nusl.cz/ntk/nusl-229785.

Full text
Abstract:
This master´s thesis deals with the bonding process, which is the dominant technology of the production of door leaves for railway vehicles. Following the necessary study of the basic essence of bonded joints the thesis further introduces the individual construction options of this product. The essential part of the thesis is represented by presentation of the achieved results of the testing of individual selected types of adhesives. On the basis of these results the most suitable type and supplier of industrial adhesive is selected.
APA, Harvard, Vancouver, ISO, and other styles
31

Samel, Björn. "Novel Microfluidic Devices Based on a Thermally Responsive PDMS Composite." Doctoral thesis, KTH, Mikrosystemteknik, 2007. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-4470.

Full text
Abstract:
The field of micro total analysis systems (μTAS) aims at developments toward miniaturized and fully integrated lab-on-a-chip systems for applications, such as drug screening, drug delivery, cellular assays, protein analysis, genomic analysis and handheld point-of-care diagnostics. Such systems offer to dramatically reduce liquid sample and reagent quantities, increase sensitivity as well as speed of analysis and facilitate portable systems via the integration of components such as pumps, valves, mixers, separation units, reactors and detectors. Precise microfluidic control for such systems has long been considered one of the most difficult technical barriers due to integration of on-chip fluidic handling components and complicated off-chip liquid control as well as fluidic interconnections. Actuation principles and materials with the advantages of low cost, easy fabrication, easy integration, high reliability, and compact size are required to promote the development of such systems. Within this thesis, liquid displacement in microfluidic applications, by means of expandable microspheres, is presented as an innovative approach addressing some of the previously mentioned issues. Furthermore, these expandable microspheres are embedded into a PDMS matrix, which composes a novel thermally responsive silicone elastomer composite actuator for liquid handling. Due to the merits of PDMS and expandable microspheres, the composite actuator's main characteristic to expand irreversibly upon generated heat makes it possible to locally alter its surface topography. The composite actuator concept, along with a novel adhesive PDMS bonding technique, is used to design and fabricate liquid handling components such as pumps and valves, which operate at work-ranges from nanoliters to microliters. The integration of several such microfluidic components promotes the development of disposable lab-on-a-chip platforms for precise sample volume control addressing, e.g. active dosing, transportation, merging and mixing of nanoliter liquid volumes. Moreover, microfluidic pumps based on the composite actuator have been incorporated with sharp and hollow microneedles to realize a microneedle-based transdermal patch which exhibits on-board liquid storage and active dispensing functionality. Such a system represents a first step toward painless, minimally invasive and transdermal administration of macromolecular drugs such as insulin or vaccines. The presented on-chip liquid handling concept does not require external actuators for pumping and valving, uses low-cost materials and wafer-level processes only, is highly integrable and potentially enables controlled and cost-effective transdermal microfluidic applications, as well as large-scale integrated fluidic networks for point-of care diagnostics, disposable biochips or lab-on-a-chip applications. This thesis discusses several design concepts for a large variety of microfluidic components, which are promoted by the use of the novel composite actuator. Results on the successful fabrication and evaluation of prototype devices are reported herein along with comprehensive process parameters on a novel full-wafer adhesive bonding technique for the fabrication of PDMS based microfluidic devices.
QC 20100817
APA, Harvard, Vancouver, ISO, and other styles
32

Mehrotra, Gaurav. "Ultra thin ultrafine-pitch chip-package interconnections for embedded chip last approach." Thesis, Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/22594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Honrao, Chinmay. "Fine-pitch Cu-snag die-to-die and die-to-interposer interconnections using advanced slid bonding." Thesis, Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/50333.

Full text
Abstract:
Multi-chip integration with emerging technologies such as a 3D IC stack or 2.5D interposer is primarily enabled by the off-chip interconnections. The I/O density, speed and bandwidth requirements for emerging mobile and high-performance systems are projected to drive the interconnection pitch to less than 20 microns by 2015. A new class of low-temperature, low-pressure, high-throughput, cost-effective and maufacturable technologies are needed to enable such fine-pitch interconnections. A range of interconnection technologies are being pursued to achieve these fine-pitch interconnections, most notably direct Cu-Cu interconnections and copper pillars with solder caps. Direct Cu-Cu bonding has been a target in the semiconductor industry due to the high electrical and thermal conductivity of copper, its high current-carrying capability and compatibility with CMOS BEOL processes. However, stringent coplanarity requirements and high temperature and high pressure bonding needed for assembly have been the major barriers for this technology. Copper-solder interconnection technology has therefore become the main workhouse for off-chip interconnections, and has recently been demonstrated at pitches as low as 40 microns. However, the current interconnection approaches using copper-solder structures are not scalable to finer feature sizes due to electromigration, and reliability issues arising with decreased solder content. Solid Liquid Inter-Diffusion (SLID) bonding is a promising solution to achieve ultra-fine-pitch and ultra-short interconnections with a copper-solder system, as it relies on the conversion of the entire solder volume into thermally-stable and highly electromigration-resistant intermetallics with no residual solder. Such a complete conversion of solders to stable intermetallics, however, relies on a long assembly time or a subsequent post-annealing process. To achieve pitches lower than 30 micron pitch, this research aims to study two ultra-short copper-solder interconnection approaches: (i) copper pillar and solder cap technology, and (ii) a novel technology which will enable interconnections with improved electrical performance by fast and complete conversion of solders to stable intermetallics (IMCs) using Solid Liquid Diffusion (SLID) bonding approach. SLID bonding, being a liquid state diffusion process, combined with a novel, alternate layered copper-solder bump structure, leads to higher diffusion rates and a much faster conversion of solder to IMCs. Moreover this assembly bonding is done at a much lower temperature and pressure as compared to that used for Cu-Cu interconnections. FEM was used to study the effect of various assembly and bump-design characteristics on the post-assembly stress distribution in the ultra-short copper-solder joints, and design guidelines were evolved based on these results. Test vehicles, based on these guidelines, were designed and fabricated at 50 and 100 micron pitch for experimental analysis. The bumping process was optimized, and the effect of current density on the solder composition, bump-height non-uniformity and surface morphology of the deposited solder were studied. Ultra-short interconnections formed using the copper pillar and solder cap technology were characterized. A novel multi-layered copper-solder stack was designed based on diffusion modeling to optimize the bump stack configuration for high-throughput conversion to stable Cu3Sn intermetallic. Following this modeling, a novel bumping process with alternating copper and tin plating layers to predesigned thicknesses was then developed to fabricate the interconnection structure. Alternate layers of copper and tin were electroplated on a blanket wafer, as a first demonstration of this stack-technology. Dies with copper-solder test structures were bonded using SLID bonding to validate the formation of stable intermetallics.
APA, Harvard, Vancouver, ISO, and other styles
34

Evaldsson, Pernilla, and Birgit Martens. "Ultraljudssvetsning : ett komplement eller ersättning till symaskinen?" Thesis, Högskolan i Borås, Institutionen Ingenjörshögskolan, 2007. http://urn.kb.se/resolve?urn=urn:nbn:se:hb:diva-18663.

Full text
Abstract:
AbstractThis bachelor thesis has been assigned by the company ACG Nyström, Borås. ACG Nyström is working in cooperation with a Swiss company named Jentschmann AG. Jentschmann is a manufacturer of ultra sonic welding machines which ACG Nyström is marketing in Scandinavia and the Baltic States. On behalf of Jentschmann AG the company has the aim to introduce the ultra sonic welding technique to new companies.The aim of this thesis is to compare traditional sewing machines with ultra sonic welding machines within two textile areas, protective clothing and outdoor living.Two investigative questions have been formulated for this purpose: what are the differences between a sewing machine and an ultra sonic welding machine? Is it possible to replace the sewing technology with ultra sonic welding? These stand as guidance for this paper.To gather knowledge interviews, literature studies, and tests on two different ultra sonic welding machines were performed. Ultra sonic welding is a technique which generates inner friction inside the material when it is exposed to pressure. The inner friction creates heat which melts the material and bonds it together. The bond needs time to stabilize before the product can be used. Not all textile materials have the contingency to bond; in this scenario tape has to be added.Ultra sonic welding machines have the same appearance as traditional sewing machines, even the sewing process is similar. Another similarity is the capacity of the two machines, which is almost identical. The sewing machine technology has one advantage: the experience and research from several centuries. Industrial sewing machines usually have the ability to perform only one task whereas an ultra sonic welding machine can perform more than one operation.One reason why ultra sonic welding machines are being used within different textile areas is the water and UV-resistance of the bond (depending on choice of material). When compared, an ultra sonic welding bond is preferable. The bond has a cleaner and daintier appearance from a designing point of view. Our research in this thesis shows that most sewing processes on the chosen products have the ability to be replaced with ultra sonic welding.
Uppsatsnivå: C
APA, Harvard, Vancouver, ISO, and other styles
35

Torunbalci, Mert Mustafa. "Wafer Level Vacuum Packaging Of Mems Sensors And Resonators." Master's thesis, METU, 2011. http://etd.lib.metu.edu.tr/upload/12613015/index.pdf.

Full text
Abstract:
This thesis presents the development of wafer level vacuum packaging processes using Au-Si eutectic and glass frit bonding contributing to the improvement of packaging concepts for a variety of MEMS devices. In the first phase of this research, micromachined resonators and pirani vacuum gauges are designed for the evaluation of the vacuum package performance. These designs are verified using MATLAB and Coventorware finite element modeling tool. Designed resonators and pirani vacuum gauges and previously developed gyroscopes with lateral feedthroughs are fabricated with a newly developed Silicon-On-Glass (SOG) process. In addition to these, a process for the fabrication of similar devices with vertical feedthroughs is initiated for achieving simplified packaging process and lower parasitic capacitances. Cap wafers for both types of devices with lateral and vertical feedthroughs are designed and fabricated. The optimization of Au-Si eutectic bonding is carried out on both planar and non-planar surfaces. The bonding quality is evaluated using the deflection test, which is based on the deflection of a thinned diaphragm due to the pressure difference between inside and outside the package. A 100% yield bonding on planar surfaces is achieved at 390º
C with a v holding time and bond force of 60 min and 1500 N, respectively. On the other hand, bonding on surfaces where 0.15&mu
m feedthrough lines exist can be done at 420º
C with a 100% yield using same holding time and bond force. Furthermore, glass frit bonding on glass wafers with lateral feedthroughs is performed at temperatures between 435-450º
C using different holding periods and bond forces. The yield is varied from %33 to %99.4 depending on the process parameters. The fabricated devices are wafer level vacuum packaged using the optimized glass frit and Au-Si eutectic bonding recipes. The performances of wafer level packages are evaluated using the integrated gyroscopes, resonators, and pirani vacuum gauges. Pressures ranging from 10 mTorr to 60 mTorr and 0.1 Torr to 0.7 Torr are observed in the glass frit packages, satisfying the requirements of various MEMS devices in the literature. It is also optically verified that Au-Si eutectic packages result in vacuum cavities, and further study is needed to quantify the vacuum level with vacuum sensors based on the resonating structures and pirani vacuum gauges.
APA, Harvard, Vancouver, ISO, and other styles
36

Lightsey, Charles Hunter. "All-copper chip-to-substrate interconnections for flip-chip packages." Thesis, Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/34729.

Full text
Abstract:
Avatrel 8000P's excellent photo-definition properties and mechanical strength make it an ideal polymer collar material. Avatrel 8000P is a high contrast, I-line sensitive mixture that can be developed in traditional aqueous-base developers. The great photolithographical performance of this photopolymer can be partly contributed to the minimal amount of light absorbed by the base norbornene polymer. The processing conditions noted in this work are an optimized version, which have been shown to give superior photolithographical performance. The simple baking procedures make Avatrel 8000P easier to process than SU-8. The ability to develop Avatrel 8000P in aqueous base can reduce chemical waste. As shown by SEM images, high fidelity structures with aspect ratios of 7:1 can be fabricated in thick films with vertical sidewalls. Bonding between two copper surfaces over various gap sizes was achieved by electroless deposition without the addition of surfactants or inhibitors in the bath. The effect of anneal temperature on the electroless bond formed was analyzed. The electroless bond strength increased with anneal temperature. However, the bond strength estimation for samples annealed at 80°C to 120°C is a minimum value due to the failure location of most of the pillars and the resulting area used in the calculation of bond strength. Grain growth from copper recrystallization and removal of small defects improve the bond strength. Large voids at the interface of the two pillars were related to rough starting surfaces for the electroplated pillars.
APA, Harvard, Vancouver, ISO, and other styles
37

Reul, Stefan. "Simulation in der Verbindungstechnik – ein Überblick." Universitätsbibliothek Chemnitz, 2010. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-201000831.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Garst, Sebastian, and n/a. "Design and production of polymer based miniaturised bio-analytical devices." Swinburne University of Technology, 2007. http://adt.lib.swin.edu.au./public/adt-VSWT20071003.082618.

Full text
Abstract:
The aim to provide preventive healthcare and high quality medical diagnostics and treatment to an increasingly ageing population caused a rapidly increasing demand for point-of-care diagnostic devices. Disposables have an advantage over re-usable units as cross-contamination is avoided, no cleaning and sterilising of equipment is required and devices can be used out of centralised laboratories. To remain cost-effective, costs for disposables should be kept low. This makes polymer materials an obvious choice. One method for the realisation of fluidic micro devices is the stacking of several layers of microstructured polymer films. Reel-to-reel manufacturing is a promising technique for high-volume manufacturing of disposable polymer bio-analytical devices. Polyethylene terephthalate (PET) and cycloolefin copolymer (COC) were selected as suitable polymer substrate materials and polydimethyl siloxane (PDMS) as membrane layer. Bonding of polymer films with the help of adhesives carries the risk of channel blocking. Despite this drawback, no other method of bonding PDMS to a structural layer could be identified. Bonding with solvents avoids channel blocking issues, but adversely affects biocompatibility. Thermal diffusion processes enable bonding of COC and PET without the use of any auxiliary material. The extensive process times requires for thermal diffusion bonding can be considerably shortened by pre-treating the material with plasma or UV exposure. Welding with the use of a laser energy absorbing dye was demonstrated to be particularly suitable for selective bonding around channels and reservoirs. None of the assessed bonding methods provide a generic solution to all bonding applications. Instead, the selection of an appropriate technique depends on the intended application and the required level of biocompatibility. Since this selection has implications on the feasibility and reliability of microfluidic structures on the device, design rules which ensure design for production have to be established and followed.
APA, Harvard, Vancouver, ISO, and other styles
39

Magnusson, Mikael S. "Testing and Evaluation of Interfibre Joint Strength under Mixed-Mode Loading." Licentiate thesis, KTH, Hållfasthetslära (Inst.), 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-116700.

Full text
Abstract:
The failure properties of interfibre bonds are the key for the build-up of strength in fibrous materials such as paper and paperboard. In order to tailor the properties of such materials by chemical or mechanical treatments and to learn how such modifications influence the properties at a microscopic level, direct measurement of individual fibre--fibre crosses are typically performed. However, the state of loading in the interfibre joint, in testing of individual fibre--fibre crosses, is in general very complex and a greater understanding for how to evaluate the mechanical properties of interfibre joints is desirable. In Paper A, a method for manufacturing multiple fibre--fibre cross specimens and a procedure for testing interfibre joints at different modes of loading is presented. The method is applied to investigate the strength of fibre-fibre crosses with different geometry and at two principally different modes of loading. Also, an investigation on the influence of drying pressure, the drying method as well as a comparison of pulp fibres from two different degrees of refining is presented. The force at rupture is scaled in terms of different geometric parameters; nominal overlap area, length and width of the joint region. It is shown that neither of the methods of scaling unambiguously reduced the coefficient of variation of the mean strength and that the force at rupture in a peeling type of loading was about 20% of the ones tested in the conventional shearing type of loading. In Paper B, a procedure for evaluating interfibre joint strength measurements in terms of resultant forces and moments at rupture is presented. The method is applied to investigate the state of loading in fibre-fibre crosses tested in two principally different modes of loading. It is shown that for a typical interfibre joint test, the modes of loading other than pure shear, cannot in general be neglected and is strongly dependent on the structural geometry of the fibre-fibre crosses. Also, the stress state in the interface centroid was estimated in order to quantify how the mode of loading influence the amount of normal stresses that develop in relation to the amount of shear stresses in the interfibre joint.
De brottmekaniska egenskaperna hos fiberfogar är nyckeln för uppbyggnaden av styrka hos fibrösa material såsom papper och kartong. För att effektivt skräddarsy sådana materials egenskaper genom kemisk eller mekanisk behandling och för att förstå hur sådana modifieringar påverkar egenskaperna på en mikroskopisk nivå är provning av individuella fiber-fiber-kors en allmänt använd metod. Belastningen i en fiberfog vid provning av individuella fiber-fiber kors är dock generellt mycket komplicerad och ytterligare kunskap om hur fiberfogars mekaniska egenskaper skall utvärderas är önskvärd. I Artikel A, presenteras en metod för samtidig tillverkning av flera fiber-fiber kors samt en metod för mekanisk provning av dessa med olika typer av belastning. Metoden tillämpades för att studera styrkan av fiber-fiber-kors med olika geometri och vid två olika lastfall. En undersökning av hur torktrycket, torkmetoden samt graden av malning inverkar på fogstyrkan presenteras. De uppmätta brottlasterna skalades med olika karakteristiska längder för fogen; nominell överlapparea samt fogens längd och bredd. Resultaten visade att ingendera av normaliseringsmetoderna reducerade variationskoefficienten (av medelvärdet av styrkan) samt att brottlasten för en globalt fläkande belastning var omkring 20 % av brottlasten för prov utförda med den konventionella skjuvande belastningen. I Artikel B, presenteras en metod för utvärdering av mätningar av styrkan hos fiberfogar med hänseende på kraft- och moment- resultanterna i gränsytan mellan fibrerna. Metoden används för att studera belastningsmoden hos fiber-fiber--kors provade i två principiellt olika lastfall. Resultaten visar att för ett typiskt fiberfogsprov av isolerade fiber-fiber-kors med långa fria fibersegment, så kan inte belastningsmoderna vid sidan av skjuvning försummas och att de är starkt beroende av fiber-fiber-korsets geometri. För att kunna jämföra fiberfogar av olika storlek och kvantifiera förhållandet mellan normal- och skjuvbelastningen i fogen skalades de resulterande krafterna och momenten med tvärsnittsstorheter baserade på en approximation av fogareans utformning.

QC 20130125


BiMaC Innovation
APA, Harvard, Vancouver, ISO, and other styles
40

Klíma, Martin. "Nekonvenční aplikace keramiky s nízkou teplotou výpalu." Doctoral thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2015. http://www.nusl.cz/ntk/nusl-234533.

Full text
Abstract:
The doctoral thesis is aimed at research of application possibilities of low-temperature co-fired ceramics, especially its non-conventional usage. It deals with particular topics ensue from electronic chips package design. The thesis also touches optoelectronic sensor application of this ceramics.
APA, Harvard, Vancouver, ISO, and other styles
41

Spirig, John Vincent. "A new generation of high temperature oxygen sensors." Columbus, Ohio : Ohio State University, 2007. http://rave.ohiolink.edu/etdc/view?acc%5Fnum=osu1188570727.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Choudhury, Abhishek. "Chip-last embedded low temperature interconnections with chip-first dimensions." Thesis, Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/37104.

Full text
Abstract:
Small form-factor packages with high integration density are driving the innovations in chip-to-package interconnections. Metallurgical interconnections have evolved from the conventional eutectic and lead-free solders to fine pitch copper pillars with lead-free solder cap. However, scaling down the bump pitch below 50-80µm and increasing the interconnect density with this approach creates a challenge in terms of accurate solder mask lithography and joint reliability with low stand-off heights. Going beyond the state of the art flip-chip interconnection technology to achieve ultra-fine bump pitch and high reliability requires a fundamentally- different approach towards highly functional and integrated systems. This research demonstrates a low-profile copper-to-copper interconnect material and process approach with less than 20µm total height using adhesive bonding at lower temperature than other state-of-the-art methods. The research focuses on: (1) exploring a novel solution for ultra-fine pitch (< 30µm) interconnections, (2) advanced materials and assembly process for copper-to-copper interconnections, and (3) design, fabrication and characterization of test vehicles for reliability and failure analysis of the interconnection. This research represents the first demonstration of ultra-fine pitch Cu-to-Cu interconnection below 200°C using non-conductive film (NCF) as an adhesive to achieve bonding between silicon die and organic substrate. The fabrication process optimization and characterization of copper bumps, NCF and build-up substrate was performed as a part of the study. The test vehicles were studied for mechanical reliability performance under unbiased highly accelerated stress test (U-HAST), high temperature storage (HTS) and thermal shock test (TST). This robust interconnect scheme was also shown to perform well with different die sizes, die thicknesses and with embedded dies. A simple and reliable, low-cost and low-temperature direct Cu-Cu bonding was demonstrated offering a potential solution for future flip chip packages as well as with chip-last embedded active devices in organic substrates.
APA, Harvard, Vancouver, ISO, and other styles
43

Wu, Yujing. "Diffusion kinetics and microstructure of eutectic and composite solder/copper joints." Thesis, access full-text online access from Digital dissertation consortium, 1994. http://libweb.cityu.edu.hk/cgi-bin/er/db/ddcdiss.pl?9424414.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Beix, Vincent. "Etudes des procédés d'encapsulation hermétique au niveau du substrat par la technologie de transfert de films." Phd thesis, Université Paris Sud - Paris XI, 2013. http://tel.archives-ouvertes.fr/tel-01037897.

Full text
Abstract:
Les micro-dispositifs comportant des structures libérées et mobiles sont d'une part très sensibles aux variations de leur environnement de travail, et d'autre part très fragiles mécaniquement. L'étape de découpe du substrat en plusieurs puces est extrêmement agressive et peut entrainer la destruction totale des micro-dispositifs. L'encapsulation avant la découpe va alors prémunir les micro-composants lors de cette étape critique et continuer à garantir leur bon fonctionnement tout au long de leur utilisation en conservant la stabilité et la fiabilité de leur performance. Le conditionnement doit en outre interfacer les micro-dispositifs encapsulés avec le monde macroscopique en vue de leur utilisation. De nombreux procédés de fabrication ont déjà été développés pour l'élaboration d'un conditionnement. C'est le cas de l'encapsulation puce par puce, substrat - substrat, par couche sacrificielle par exemple. Ils sont toutefois très contraignants (encombrement, compatibilité, coût, ...). Nous avons étudié, au cours de cette thèse, un procédé innovant de conditionnement hermétique par transfert de film utilisant une couche à adhésion contrôlée. Cette technologie consiste à élaborer des capots protecteurs sur le substrat moule puis à les reporter collectivement pour encapsuler les micro-dispositifs. Ce procédé est totalement compatible avec un interfaçage électrique de composant qui traverse les cordons de scellement ou le capot. Ce procédé nécessite la maîtrise de la croissance de divers films (C, CxFy, Ni, AlN, parylène, BCB, Au-In) et permet d'obtenir des boitiers étanches, hermétiques et robustes qui devraient très rapidement pouvoir être utilisés pour le conditionnement de MEMS.
APA, Harvard, Vancouver, ISO, and other styles
45

Ali, Khaled Abedela Mahdi. "Application of zirconium-coated titanium wires as restorative orthodontic materials." Thesis, Cape Peninsula University of Technology, 2013. http://hdl.handle.net/20.500.11838/1532.

Full text
Abstract:
Thesis submitted in fulfillment of the requirements for the degree Magister of Technology: Dental Technology In the Faculty of Health & Wellness Sciences At the Cape Peninsula University of Technology 2013
Orthodontic archwires are made from different alloys. It is now possible to match phases of treatment with orthodontic archwires according to its mechanical properties. On this basis, the titanium molybdenum alloys (TMA) in its beta phase have an excellent combination of strength and flexibility when used as archwires to apply biomechanical forces that affect tooth movement. It has recently gained increased popularity in orthodontic treatment. There are, however, disadvantages associated with the use of orthodontic archwires, such as high surface roughness, which increases friction at the archwire-brackets interface during the sliding process. The surface roughness of dental materials is of utmost importance. Properties such as desirable tensile strengths, load deflection, hardness and low modulus of elasticity and resistance against corrosion & wear determine the area of the contact surface, thereby influencing the friction. The main object of this study was to improve the strength and surface roughness of the beta-titanium orthodontic archwires (β-Ti III) and timolium archwires (TIM), taking into account of retention of the archwires strength. The following tasks were performed. Layers of Zr were deposited on the β-Ti archwires and compared with the archwire strength before and after Zr deposition. The structure of selected archwires and its composition and surface roughness was investigated before and after Zr deposition, using scanning electron microscopy (SEM) and atomic force microscopy (AFM). The force of selected archwires before and after deposition with layers of Zr by Hounsfield deflection testing was studied. Two commercially available orthodontic archwires were used in this study, namely, β-Ti III and TIM orthodontic archwires. The archwires were cut into 25 mm long specimens. In this study, the electron beam-physical vapour deposition (EB-PVD) technique was applied to deposit pure Zr (thicknesses of 5, 10, 25 and 50 nm) on selected archwires and the effects thereof were investigated using AFM, SEM and the Hounsfield deflection test. Results of SEM and AFM analysis and deflection tests showed significant differences between Zr-coated archwires compared with uncoated archwires. Zr-coated archwires (5, 10, 25 and 50 nm depositions) had reduced surface roughness compared with uncoated archwires. A high load deflection rate was exhibited by the coated β-Ti III archwires and a low load deflection rate was exhibited by the coated TIM archwires. There was a difference in load deflection rate between the coated and uncoated archwires. Deposition of 5, 10, 25 and 50 nm Zr on both types of β-Ti orthodontic archwires is recommended for even sliding mechanics due to resulting reduced surface roughness with a good load deflection rate compared with uncoated β-Ti orthodontic archwires. KEYWORDS Surface roughness Zirconium Titanium Deflection test Beta titanium orthodontic archwires Orthodontic archwires alloys Coated materials Electron beam-physical vapour deposition Scanning electron microscopy Atomic force microscopy
APA, Harvard, Vancouver, ISO, and other styles
46

Melin, Timothy R. "Investigating Wood Welding Parameters Using a Prototype Welding Machine." DigitalCommons@CalPoly, 2010. https://digitalcommons.calpoly.edu/theses/420.

Full text
Abstract:
Understanding how different processing variables influence wood welded bonds is vital if the technique will ever be used to create engineered lumber without using adhesives. A variation of vibration welding, wood welding uses pressure and friction to bond materials together. During welding, heat causes a softening in the wood, a naturally occurring composite material. This softening leads to fiber entanglement and a bond forms upon cooling. The goal of this research was to investigate several processing aspects of the wood welding procedure. A prototype wood welding machine, designed and fabricated from the ground up, was used to investigate the effects of various welding parameters using birch wood. Wood welds were evaluated on the basis of bond coverage and ultimate shear strength. Four experiments were performed: welding frequency and duration interaction, grain orientation effects, alternative welding completion metrics, and strength development over time. During the wood welding process, three distinct phenomena were repeatedly observed: smoke creation, welding residue formation, and an audible pitch change. The presence of each was recorded for every wood welded specimen and used later in additional data analysis. Investigating each of the welding phenomena was done in an attempt to better characterize when fusion was achieved at the weld interface. ImageTool, an image analysis software package, was used to investigate and quantify the often irregular bonds exposed after shear fracture. The results of the various welding variables were analyzed on the basis of shear strength and bond uniformity. From the birch samples, it was shown that better bonds result from lower welding frequencies and longer welding durations. The grain orientation analysis demonstrated that welding orientation marginally affects the average shear strength of the wood weld. The data from the alternative welding metrics suggests that welding time is not a quality indicator of welding completion (bond coverage). The strength development trials confirmed previous research; wood welds obtain most of their strength in a relatively short period of time. Douglas fir and poplar both proved to be weldable for the first time, but they were sufficiently weaker than birch. When welding was attempted with Douglas fir under similar pressures used for birch, Douglas fir samples would commonly “washboard.” With reduced welding pressure, Douglas fir formed wood welds more easily.
APA, Harvard, Vancouver, ISO, and other styles
47

Han, Ki Jin. "Electromagnetic modeling of interconnections in three-dimensional integration." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2009. http://hdl.handle.net/1853/29642.

Full text
Abstract:
Thesis (Ph.D)--Electrical and Computer Engineering, Georgia Institute of Technology, 2009.
Committee Chair: Madhavan Swaminathan; Committee Member: Andrew E. Peterson; Committee Member: Emmanouil M. Tentzeris; Committee Member: Hao-Min Zhou; Committee Member: Saibal Mukhopadhyay. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
48

Thellman, Eric. "Taktikens utveckling i den tekniska revolutionen." Thesis, Försvarshögskolan, 2018. http://urn.kb.se/resolve?urn=urn:nbn:se:fhs:diva-7605.

Full text
Abstract:
Theorists in war studies have since the 1940’s debated the issue of the connection between technology and tactical development, and at the end of the 1990’s a technological revolution in warfare was debated. As presented in this thesis the debate is far from completed. Lieutenant Commander Erik Öhrn made an effort to construct a general theory for this connection in 2011 at the Swedish Defense University. Preliminary studies prove that the theory is applicable for naval tactics. The purpose of this thesis is to examine if the theory is valid in mechanized tactics by studying the introduction of the Swedish Combat Vehicle 90. In using a qualitative method, searching for theoretical constructed indicators, this thesis finds shortages in the theory as a general theory for the connection between technology and tactical development. The definition and concept of technology is among these shortages, which is a crucial part of the understanding of the revolution debated in previous research.
APA, Harvard, Vancouver, ISO, and other styles
49

Oberhammer, Joachim. "Novel RF MEMS Switch and Packaging Concepts." Doctoral thesis, KTH, Signaler, sensorer och system, 2004. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3817.

Full text
Abstract:
Radio-frequency microelectromechanical systems (RF~MEMS) are highly miniaturized devices intended to switch, modulate, filter or tune electrical signals from DC to microwave frequencies. The micromachining techniques used to fabricate these components are based on the standard clean-room manufacturing processes for high-volume integrated semiconductor circuits. RF~MEMS switches are characterized by their high isolation, low insertion loss, large bandwidth and by their unparalleled signal linearity. They are relatively simple to control, are very small and have almost zero power consumption. Despite these benefits, RF~MEMS switches are not yet seen in commercial products because of reliability issues, limits in signal power handling and questions in packaging and integration. Also, the actuation voltages are typically too high for electronics applications and require additional drive circuitry. This thesis presents a novel MEMS switch concept based on an S-shaped film actuator, which consists of a thin and flexible membrane rolling between a top and a bottom electrode. The special design makes it possible to have high RF isolation due to the large contact distance in the off-state, while maintaining low operation voltages due to the zipper-like movement of the electrostatic dual-actuator. The switch comprises two separately fabricated parts which allows simple integration even with RF circuits incompatible with certain MEMS fabrication processes. The two parts are assembled by chip or wafer bonding which results in an encapsulated, ready-to-dice package. The thesis discusses the concept of the switch and reports on the successful fabrication and evaluation of prototype devices. Furthermore, this thesis presents research results in wafer-level packaging of (RF) MEMS devices by full-wafer bonding with an adhesive intermediate layer, which is structured before bonding to create defined cavities for housing MEMS devices. This technique has the advantage of simple, robust and low temperature fabrication, and is highly tolerant to surface non-uniformities and particles in the bonding interface. It allows cavities with a height of up to many tens of micrometers to be created directly in the bonding interface. In contrast to conventional wafer-level packaging methods with individual chip-capping, the encapsulation is done using a single wafer-bonding step. The thesis investigates the process parameters for patterned adhesive wafer bonding with benzocyclobutene, describes the fabrication of glass lid packages based on this technique, and introduces a method to create through-wafer electrical interconnections in glass substrates by a two-step etch technique, involving powder-blasting and chemical etching. Also, it discusses a technique of improving the hermetic properties of adhesive bonded structures by additional passivation layers. Finally, it presents a method to substantially improve the bond strength of patterned adhesive bonding by using the solid/liquid phase combination of a patterned polymer layer with a contact-printed thin adhesive film.
QC 20100617
APA, Harvard, Vancouver, ISO, and other styles
50

Hausding, Jan. "Multiaxiale Gelege auf Basis der Kettenwirktechnik – Technologie für Mehrschichtverbunde mit variabler Lagenanordnung." Doctoral thesis, Saechsische Landesbibliothek- Staats- und Universitaetsbibliothek Dresden, 2010. http://nbn-resolving.de/urn:nbn:de:bsz:14-qucosa-27716.

Full text
Abstract:
Mit multiaxialen Gelegen auf Basis der Kettenwirktechnik stehen hervorragende textile Halbzeuge für die Weiterverarbeitung als Verstärkungskomponente in Faser-Kunststoff-Verbunden zur Verfügung. Die bisherige Konfiguration der für die Herstellung dieser Textilien verwendeten Nähwirkmaschinen führt verfahrensbedingt zu einem unsymmetrischen Produktaufbau mit üblicherweise nur einer Fadenlage in Gelegelängsrichtung und ebenso zu Einschränkungen bei der Anordnung des Bindefadens im Textil. Durch die Erweiterung des Nähwirkprozesses wird es möglich, Nähwirkstoffe mit einer beliebigen Abfolge der Einzellagen herzustellen, zum Beispiel in symmetrischer Anordnung. Die neuen Varianten der Lagenanordnung und der Bindungskonstruktion bilden den Ausgangspunkt für die Produktentwicklung am Beispiel zweier Anwendungen aus den Bereichen der Faser-Kunststoff-Verbunde und des textilbewehrten Betons. Hier wird deutlich, dass über die Herstellung symmetrischer Gelege hinaus der Einsatz des erweiterten Wirkprozesses die Eigenschaften der Gelege und der Endprodukte vorteilhaft beeinflussen kann. Aus den untersuchten Beispielen und grundsätzlichen Betrachtungen leitet sich ab, unter welchen maschinentechnischen Voraussetzungen der Einsatz des erweiterten Wirkprozesses sinnvoll ist. Es wird ein Konzept entwickelt, auf dessen Grundlage Nähwirkstoffe mit variabler Lagenanordnung auf Nähwirkmaschinen gefertigt werden können
Multiaxial multi-ply fabrics made by warp knitting are excellently suited for the application in fiber reinforced composites. The usual configuration of the stitch-bonding machines, which are used to produce these fabrics, necessarily leads to composite laminates with an asymmetric layer arrangement and only one layer of yarns in the zero degree direction of the fabric. The variability of patterning with the binding yarn is also limited. By completing the stitch-bonding process with an additional work step it is possible to produce stitch-bonded fabrics without any restrictions concerning the arrangement of the individual layers in the fabric, for example with a symmetric composition. This is the basis for the development of two exemplary products in the fields of textile reinforced plastics and textile reinforced concrete. It can be shown that the application of the extended stitch-bonding process is advantageous beyond the layer arrangement, positively affecting the mechanical properties of the fabric and the composite. From these examples, conclusions are drawn regarding the configuration of future stitch-bonding machines
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography