Academic literature on the topic 'Atomic Layer Etching'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Atomic Layer Etching.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Atomic Layer Etching"

1

AOYAGI, Yoshinobu, and Takashi MEGURO. "Atomic Layer Etching." Nihon Kessho Gakkaishi 33, no. 3 (1991): 169–74. http://dx.doi.org/10.5940/jcrsj.33.169.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Eliceiri, Matthew, Yoonsoo Rho, Runxuan Li, and Costas P. Grigoropoulos. "Pulsed laser induced atomic layer etching of silicon." Journal of Vacuum Science & Technology A 41, no. 2 (March 2023): 022602. http://dx.doi.org/10.1116/6.0002399.

Full text
Abstract:
We demonstrate the laser mediated atomic layer etching (ALEt) of silicon. Using a nanosecond pulsed 266 nm laser focused loosely over and in a parallel configuration to the surface of the silicon, we dissociate Cl2 gas to induce chlorination. Then, we use pulsed picosecond irradiation to remove the chlorinated layer. Subsequently, we perform continuous wave (CW) laser annealing to eliminate amorphization caused by the picosecond laser etching. Based on atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS), we observed strong evidence of chlorination and digital etching at 0.85 nm etching per cycle with good uniformity.
APA, Harvard, Vancouver, ISO, and other styles
3

Hatch, Kevin A., Daniel C. Messina, and Robert J. Nemanich. "Plasma enhanced atomic layer deposition and atomic layer etching of gallium oxide using trimethylgallium." Journal of Vacuum Science & Technology A 40, no. 4 (July 2022): 042603. http://dx.doi.org/10.1116/6.0001871.

Full text
Abstract:
Atomic layer etching driven by self-limiting thermal reactions has recently been developed as a highly conformal and isotropic technique for low damage atomic scale material removal by sequential exposures of vapor phase reactants. Gallium oxide (Ga2O3) is currently among the materials of interest due to a large variety of applications including power electronics, solar cells, gas sensors, and photon detectors. In this study, Ga2O3 was deposited by plasma enhanced atomic layer deposition using trimethylgallium [TMG, Ga(CH3)3] and O2 plasma at a substrate temperature of 200 °C. We report a newly developed method for Ga2O3 thermal atomic layer etching, in which surface modification is achieved through HF exposure resulting in a gallium fluoride surface layer, and then removed through volatile product formation via ligand exchange with TMG. Saturation of the precursor exposure at a substrate temperature of 300 °C resulted in an etch rate of 1.0 ± 0.1 Å/cycle for amorphous Ga2O3. Uniformity and conformality of the atomic layer etching process were confirmed via atomic force microscopy with a measured surface roughness of 0.55 ± 0.05 nm that remains unchanged after etching. The use of TMG for etching may expand available precursors for atomic layer etching processes, while allowing for both etching and deposition of Ga2O3 using the same metalorganic precursor.
APA, Harvard, Vancouver, ISO, and other styles
4

Oh, Chang-Kwon, Sang-Duk Park, and Geun-Young Yeom. "Atomic Layer Etching of Silicon Using a Ar Neutral Beam of Low Energy." Korean Journal of Materials Research 16, no. 4 (April 27, 2006): 213–17. http://dx.doi.org/10.3740/mrsk.2006.16.4.213.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

George, Steven M. "(Tutorial) Thermal Atomic Layer Etching." ECS Meeting Abstracts MA2021-02, no. 29 (October 19, 2021): 847. http://dx.doi.org/10.1149/ma2021-0229847mtgabs.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Ikeda, Keiji, Shigeru Imai, and Masakiyo Matsumura. "Atomic layer etching of germanium." Applied Surface Science 112 (March 1997): 87–91. http://dx.doi.org/10.1016/s0169-4332(96)00995-6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Nieminen, Heta-Elisa, Mykhailo Chundak, Mikko J. Heikkilä, Paloma Ruiz Kärkkäinen, Marko Vehkamäki, Matti Putkonen, and Mikko Ritala. "In vacuo cluster tool for studying reaction mechanisms in atomic layer deposition and atomic layer etching processes." Journal of Vacuum Science & Technology A 41, no. 2 (March 2023): 022401. http://dx.doi.org/10.1116/6.0002312.

Full text
Abstract:
In this paper, we introduce a vacuum cluster tool designed specifically for studying reaction mechanisms in atomic layer deposition (ALD) and atomic layer etching (ALE) processes. In the tool, a commercial flow-type ALD reactor is in vacuo connected to a set of UHV chambers so that versatile surface characterization is possible without breaking the vacuum environment. This way the surface composition and reaction intermediates formed during the precursor or etchant pulses can be studied in very close to true ALD and ALE processing conditions. Measurements done at each step of the deposition or etching cycle add important insights about the overall reaction mechanisms. Herein, we describe the tool and its working principles in detail and verify the equipment by presenting results on the well-known trimethyl aluminum–water process for depositing Al2O3.
APA, Harvard, Vancouver, ISO, and other styles
8

Yao, Yong Zhao, Yukari Ishikawa, Yoshihiro Sugawara, and Koji Sato. "Removal of Mechanical-Polishing-Induced Surface Damages on 4H-SiC Wafers by Using Chemical Etching with Molten KCl+KOH." Materials Science Forum 778-780 (February 2014): 746–49. http://dx.doi.org/10.4028/www.scientific.net/msf.778-780.746.

Full text
Abstract:
High temperature (>1000 °C) chemical etching using molten KCl or molten KCl+KOH as the etchant has been carried out to remove the mechanical-polishing (MP) induced damage layer from 4H-SiC surface. Atomic force microscopy observations have shown that line-shaped surface scratches that have appeared on the as-MPed surface could be completely removed by KCl-only etching or by KCl+KOH etching (KCl:KOH=99:1 in weight) at ~1100 °C. Between the two recipes, KCl+KOH etching has shown a higher etch rate (6~7 times) and is able to remove ~9 μm and ~36 μm-thick damage layer from the Si (0001) and the C(000-1) surface, respectively. Besides, KCl+KOH etching seems to have formed a Si (0001) surface covered with atomic steps while KCl-only etched surface is featured with nanometer-scale pores.
APA, Harvard, Vancouver, ISO, and other styles
9

Reif, Johanna, Martin Knaut, Sebastian Killge, Matthias Albert, Thomas Mikolajick, and Johann W. Bartha. "In situ studies on atomic layer etching of aluminum oxide using sequential reactions with trimethylaluminum and hydrogen fluoride." Journal of Vacuum Science & Technology A 40, no. 3 (May 2022): 032602. http://dx.doi.org/10.1116/6.0001630.

Full text
Abstract:
Controlled thin film etching is essential for future semiconductor devices, especially with complex high aspect ratio structures. Therefore, self-limiting atomic layer etching processes are of great interest to the semiconductor industry. In this work, a process for atomic layer etching of aluminum oxide (Al2O3) films using sequential and self-limiting thermal reactions with trimethylaluminum and hydrogen fluoride as reactants was demonstrated. The Al2O3 films were grown by atomic layer deposition using trimethylaluminum and water. The cycle-by-cycle etching was monitored throughout the entire atomic layer etching process time using in situ and in real-time spectroscopic ellipsometry. The studies revealed that the sequential surface reactions were self-limiting versus reactant exposure. Spectroscopic ellipsometry analysis also confirmed the linear removal of Al2O3. Various process pressures ranging from 50 to 200 Pa were employed for Al2O3 etching. The Al2O3 etch rates increased with process pressures: Al2O3 etch rates of 0.92, 1.14, 1.22, and 1.31 Å/cycle were obtained at 300 °C for process pressures of 50, 100, 150, and 200 Pa, respectively. The Al2O3 etch rates increased with the temperature from 0.55 Å/cycle at 250 °C to 1.38 Å/cycle at 350 °C. Furthermore, this paper examined the temperature dependence of the rivalry between the removal (Al2O3 etching) and growth (AlF3 deposition) processes using the reactants trimethylaluminum and hydrogen fluoride. The authors determined that 225 °C is the transition temperature between AlF3 atomic layer deposition and Al2O3 atomic layer etching. The high sensitivity of in vacuo x-ray photoelectron spectroscopy allowed the investigation of the interface reactions for a single etching pulse as well as the initial etch mechanism. The x-ray photoelectron spectroscopy measurements indicated that the fluorinated layer is not completely removed after each trimethylaluminum exposure. The Al2O3 atomic layer etching process mechanism may also be applicable to etch other materials such as HfO2.
APA, Harvard, Vancouver, ISO, and other styles
10

Hirano, Tomoki, Kenya Nishio, Takashi Fukatani, Suguru Saito, Yoshiya Hagimoto, and Hayato Iwamoto. "Characterization of Wet Chemical Atomic Layer Etching of InGaAs." Solid State Phenomena 314 (February 2021): 95–98. http://dx.doi.org/10.4028/www.scientific.net/ssp.314.95.

Full text
Abstract:
In this work, we characterized the wet chemical atomic layer etching of an InGaAs surface by using various surface analysis methods. For this etching process, H2O2 was used to create a self-limiting oxide layer. Oxide removal was studied for both HCl and NH4OH solutions. Less In oxide tended to remain after the HCl treatment than after the NH4OH treatment, so the combination of H2O2 and HCl is suitable for wet chemical atomic layer etching. In addition, we found that repetition of this etching process does not impact on the oxide amount, surface roughness, and interface state density. Thus, nanoscale etching of InGaAs with no impact on the surface condition is possible with this method.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Atomic Layer Etching"

1

Gong, Yukun. "Electrochemical Atomic Layer Etching of Copper and Ruthenium." Case Western Reserve University School of Graduate Studies / OhioLINK, 2021. http://rave.ohiolink.edu/etdc/view?acc_num=case1625783128128316.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Dallorto, Stefano [Verfasser], Ivo W. [Akademischer Betreuer] Rangelow, Adam Gutachter] Schwartzberg, and Steffen [Gutachter] [Strehle. "Enabling control of matter at the atomic level: atomic layer deposition and fluorocarbon-based atomic layer etching / Stefano Dallorto ; Gutachter: Adam Schwartzberg, Steffen Strehle ; Betreuer: Ivo W. Rangelow." Ilmenau : TU Ilmenau, 2020. http://nbn-resolving.de/urn:nbn:de:gbv:ilm1-2019000480.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Dallorto, Stefano [Verfasser], Ivo W. [Akademischer Betreuer] Rangelow, Adam [Gutachter] Schwartzberg, and Steffen [Gutachter] Strehle. "Enabling control of matter at the atomic level: atomic layer deposition and fluorocarbon-based atomic layer etching / Stefano Dallorto ; Gutachter: Adam Schwartzberg, Steffen Strehle ; Betreuer: Ivo W. Rangelow." Ilmenau : TU Ilmenau, 2020. http://d-nb.info/120306683X/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Pezeril, Maxime. "Développement d'un procédé de gravure par plasma pour les transistors de puissance à base de matériaux III-V." Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT049.

Full text
Abstract:
Dans le secteur de l’électronique de puissance, le Nitrure de Gallium (GaN) émerge comme un matériau prometteur grâce à ses qualités intrinsèques, en particulier son grand gap et sa tenue à fortes tensions. Les transistors qui l’utilisent, appelés HEMT (High Electron Mobility Transistors), reposent sur une propriété particulière d’une hétérostructure AlGaN/GaN: un canal bi-dimensionnel (2DEG). Les différentes technologies sont encore en développement et font face à différentes problématiques liées aux étapes critiques du procédé de fabrication des composants. L’une de ces étapes est la gravure de la grille. Ce doctorat présente différentes études des dégradations induites par la gravure plasma du GaN, ainsi que méthode de réduction de l’endommagement. Le procédé de référence étudié est constitué d’une gravure principale RIE (Reactive Ion Etching) par plasma Cl2/BCl3 puis d’une finition lente et moins dégradante par Atomic Layer Etching (ALE).Les premiers travaux ont souligné l’impact du choix du masque utilisé pour la définition des motifs sur la plaque. Les analyses XPS, AFM et les observations du profil de la grille après gravure ont permis de mettre en avant certains mécanismes impliquant directement la nature du masque. Ainsi, le passage d’un masque en résine photosensible à un masque diélectrique (dit masque dur) a accéleré la gravure, sans modification drastique de l’état de surface. En parallèle, deux mécanismes de passivation de la grille ont été décelé: une passivation latérale par dépôt d’un polymère lors de la gravure du masque de résine, une passivation sur l’ensemble de la grille freinant la deuxième étape de gravure par ALE lors de la gravure d’un masque d’oxyde de silicium. Ce deuxième mécanisme a été contourné via la modification de l’énergie de bombardement ionique.Ces premiers résultats ont servi de base pour l’étude d’approches alternatives au procédé de référence. La nature des espèces chimiques présentes dans le plasma a clairement été mis en exergue, en particulier des espèces comme l’HBr. Ainsi, la suite de l’étude s’est recentrée sur la modification des paramètres du procédé de référence. Confirmée par la modification du bias, la préponderance du bombardement ionique dans le mécanisme de dégradation du GaN a été réduite par le passage d'un bias continu à un bias pulsé. Ainsi, ces premiers résultats électriques ont ajouté de nouvelles options prometteuses.Les derniers travaux ont tenu compte des études du choix du masque et de la modification de certaines caractéristiques du plasma pour proposer une analyse des performances électriques du composant. Via la création de capacité MOS GaN/Al2O3/Ni/Au sur la surface du GaN grave, des caractéristiques importantes d’une grille ont été analysées : la tension de bande plate VFB et l’hystérèse d’une cycle accumulation-décharge C(V). La mise en place du procédé de gravure-dépôts métalliques a souligné l’importance de l’ajout d’étapes de nettoyage : par plasma in situ à la suite de la gravure, par bain acide avant la croissance d’alumine
In power electronics industry, Gallium Nitride (GaN) is a promising material by his properties, especially the wide gap and high voltage working. The devices, called HEMT (High Electron Mobility Transistors), are based on AlGaN/GaN heterostructure property : the Two-dimensional electron gas (2DEG). The manufacturing of power devices inlcudes several critical steps when the GaN is degraded. This thesis works focused on the plasma induced damages and present several processes to reduce these degradations.We first studied the impact of mask used for patterning with a Cl-based Reactive Ion Etching (RIE) process followed by Atomic Layer Etching (ALE). XPS, AFM and SEM gate profile analysis highlighted degradation mechanisms involving the masks. The comparison between resist mask and dielectric masks, called hard masks, have shown 2 types of passivation. The first one is a polymer deposition on the sidewalls of the gate during resist mask etching. The second one is thin layer deposition on the sidewalls and the bottom of the gate during silicon oxide mask etching. This passivation, slowing the ALE down, has been avoided by ion bombardment energy modification.Considering the first results, we tried several alternative plasma etching processes. The nature of the species used has been clearly identified as a strong factor of degradation, especially HBr. Furthermore, the modification of the bias voltage for the Cl-based process confirms that ion bombardment energy is the main factor of GaN degradation. The use of bias-pulsed processes shows promising results.Finally, the last works focused on MOS (GaN/Al2O3/Ni/Au capacity performances analysis following plasma etching conditions. The Capacity-Voltage C(V) characterizations put emphasis on the add of clean steps between GaN plasma etching and alumine Atomic Layer Deposition (ALD) : in situ O2 dry strip (without bias voltage) and HCL wet strip before furnace loading
APA, Harvard, Vancouver, ISO, and other styles
5

Fecko, Peter. "Mikrostruktury mimikující povrch tlapky gekona." Master's thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2019. http://www.nusl.cz/ntk/nusl-400722.

Full text
Abstract:
Adhezní schopnosti gekona byly předmětem mnoha studií a inspirací pro vytvoření mnoha napodobenin. Tato práce navrhuje vlastní verzi umělých gekoních struktur ve tvaru mikroskopických pilířů, které by vykazovaly adhezní vlastnosti srovnatelné s tlapkou gekona. Vyrobeny byli struktury z polymeru Parylen C pomocí fotolitografie a technik na leptání křemíku. Dalším cílem bylo různými metodami pro modifikaci povrchu a charakterizaci vytvořených struktur, které určí adhezní síly těchto povrchů, před a po modifikacích.
APA, Harvard, Vancouver, ISO, and other styles
6

Chen, Kuan-Chao, and 陳冠超. "Device Fabrications of 2D Material Transistors: Material Growth and Atomic Layer Etching." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/3pz445.

Full text
Abstract:
博士
國立臺灣大學
電子工程學研究所
106
In this thesis, we have demonstrated that by using the growth technique of sulfurizing pre-deposited transition metals, large-area transition metal disulfides such as MoS2 and WS2 can be grown on sapphire substrates. Good layer number controllability can be achieved for MoS2 down to single layer by controlling the Mo sputtering times. With sequential transition metal deposition and following sulfurization procedures, a WS2/MoS2/WS2 double hetero-structure can be established in 3-layer 2D crystal thickness. By using the low-power oxygen plasma treatment, a significant increase in field-effect mobility in the fabricated MoS2 transistors was observed. By using the same low-power oxygen plasma treatment, atomic layer etching of MoS2 can be achieved. After the low-power oxygen plasma treatment, the topmost MoS2 layer of multi-layer MoS2 film will be fully oxidized. The weaker adhesion of Mo oxides with MoS2 surfaces would lead to the de-attachment of the topmost oxidized MoS2 layer from the underlying MoS2 films. With the re-sulfurization procedure after the etching process, the partially oxidized MoS2 film remained on the substrate can be recovered back to a complete MoS2 film. Both optical and electrical characteristics of the MoS2 films can be maintained after the ALE procedure. By repeating the same ALE procedures, the equivalent selective etching of TMD hetero-structures is demonstrated.
APA, Harvard, Vancouver, ISO, and other styles
7

Chu, Tung-Wei, and 屈統威. "The Growth of Large-Area Transition Metal Dichalcogenide Hetero-Structures and the Development of the Atomic Layer Etching." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/75bnb4.

Full text
Abstract:
碩士
國立臺灣大學
光電工程學研究所
105
In this thesis, we have demonstrated that large-area molybdenum disulfide (MoS2) can be prepared by sulfurizing the pre-deposited transition metal films. Good layer number controllability up to 10 layers of the MoS2 film is also achieved by controlling the sputtering times of the pre-deposited transition metal films. For the sample with thicker Mo films, although MoS2 films with the layer number larger than 10 can be obtained, clusters of multi-layer 2D crystals covering Mo oxides are obtained for the sample. The results suggest that two growth mechanisms of planar MoS2 formation and Mo oxide segregation would take place simultaneously during the sulfurization procedure. After sequential transition metal deposition and sulfurization procedures of Mo and tungsten (W), MoS2/WS2 2D crystal hetero-structures can be established. After transferring the hetero-structure film to a 300 nm SiO2/Si substrate, a bottom-gate transistor with enhanced field-effect mobility is obtained. The results have revealed that the establishment of different hetero-structures is a promising approach to overcome the limit of individual 2D crystals and still maintain their advantage. The atomic layer etchings of MoS2 and WS2 are demonstrated in this paper. By repeated oxygen plasma etchings and a final re-sulfurization procedure, multi-layer WS2 can be selectively etched off from the WS2/MoS2 hetero-structure. A WS2/MoS2 hetero-structure transistor is fabricated with source/drain electrodes contacted directly to the MoS2 channel by using the repeated atomic layer etching technique. The results have revealed that the equivalent selective etching effect for two-dimensional crystal hetero-structures can be achieved by repeating the atomic layer etching procedure, which is an important step for the device fabrication of 2D crystal hetero-structures.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Atomic Layer Etching"

1

Lill, Thorsten. Atomic Layer Processing: Semiconductor Dry Etching Technology. Wiley & Sons, Limited, John, 2021.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Lill, Thorsten. Atomic Layer Processing: Semiconductor Dry Etching Technology. Wiley & Sons, Incorporated, John, 2021.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Lill, Thorsten. Atomic Layer Processing: Semiconductor Dry Etching Technology. Wiley & Sons, Incorporated, John, 2021.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Lill, Thorsten. Atomic Layer Processing: Semiconductor Dry Etching Technology. Wiley & Sons, Incorporated, John, 2021.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Atomic Layer Etching"

1

Hossain, Samiha, Oktay H. Gokce, and N. M. Ravindra. "Atomic Layer Deposition and Atomic Layer Etching—An Overview of Selective Processes." In TMS 2021 150th Annual Meeting & Exhibition Supplemental Proceedings, 219–29. Cham: Springer International Publishing, 2021. http://dx.doi.org/10.1007/978-3-030-65261-6_20.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Yue, Zhihao, Honglie Shen, Ye Jiang, and Yahui Teng. "Antireflective Silicon Nanostructures Fabricated by Cheap Chemical Etchant and Coated by Atomic Layer Deposited Al2O3Layer." In EPD Congress 2013, 243–50. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2013. http://dx.doi.org/10.1002/9781118658468.ch28.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

"Atomic Layer Etching." In Encyclopedia of Plasma Technology, 128–32. CRC Press, 2016. http://dx.doi.org/10.1081/e-eplt-120049598.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

"Atomic Layer Etching: Directional." In Encyclopedia of Plasma Technology, 133–42. CRC Press, 2016. http://dx.doi.org/10.1081/e-eplt-120053939.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Bihun, Roman, and Bohdan Koman. "NANOSCALE METAL FILM ELECTRONICS." In Traditions and new scientific strategies in the context of global transformation of society. Publishing House “Baltija Publishing”, 2024. http://dx.doi.org/10.30525/978-9934-26-406-1-1.

Full text
Abstract:
The purpose of work is the development of technique for the deposition of nanoscale metal condensates of fine-crystalline structure of Au, Ag, Cu and transition (Mn, Hi, Pd and Cr) metals on the surface of amorphous glass or carbon substrate, and such surfaces pre-coated with wetting weakly conductive underlayers of Ge, Sb or Si, with mass thicknesses up to 8 nm.With predicted, controlled structure and electrophysical properties of metal films by use the combination of "quench deposition" technology and wetting underlayers with subsequent thermal stabilization in the interval of the first temperature zone of the modified Movchan-Demchyshyn Zone model. Practicalimplication. To analyze theoretical approaches for quantitative prediction of size charge transport phenomena in classical and ballistic regimes and the impact of surface inhomogeneities on them. Experimentally study the physical regularities of dimensional effect impact on the structure, electrophysical and optical properties of nanoscale condensates of the studied metals. The goal tasks must be solved: Develop a method of controlled metal films deposition with given physical parameters. Investigate fine-crystalline metal films with a given structure and establish criteria for the selection of wetting underlayers. To experimentally investigate the size dependence of the average linear sizes of crystallites D in the studied metal films to predict the features of the structure, surface morphology, and patterns of change in the dc percolation thickness in metal condensates. To study the regularities of condensate formation with given average linear dimensions of crystallites depending on the nature of the material, the thickness of the wetting underlayer and the mode of thermostabilization of their properties.Methodology. Nanoscale metal condensates (films) were depositeded with method of "frozen condensation" (quench deposition) of condensation of vapor thermally evaporated at ultra-high vacuum (pressure of residual gases did not exceed 10-7Pa) of metal on an amorphous glass substrate or substrate cooled to 78-90K,pre-covered with wetting Ge, Sb or Si underlayer of given mass thickness. The thickness of investigated films was monitired by shift of the resonant frequency of quartz vibrator. Electrical and thermoelectric power studies of the films consisted in studying ofsize dependence of their kinetic coefficients. Films resistance of correct geometric shape samples were measured by two-probe method, thermoelectric powerwith compensation method. Structure of studied films was monitored with transmission electronography and electron microscopy. The morphology of film surface was studied by scanning tunneling microscopy (STM) and atomic force microscopy (AFM). The listed approaches were performed by complementary and mutually controlled experimental and theoretical approaches. Metal films mechanical tensiones grown by thermovacuum evaporation methodin VUP-5A chamber undervacuum not worse than 10-5Pa were studied. Chemically polished surfaces of single-crystal silicon plates of KEF – 4.5 (111) were used for metal film mechanical tensiones investigation. Residual mechanical tensiones of the substrates caused by their mechanical processing were removed by annealing in vacuum at temperature ~ 1000°С (±1°С). After the final etching in the polishing herbator SR-4, Si-substrates were cutby dimensions of 70 × 4 × 0.25mm3. Practicalimplication. Experimentalinvestigation are necessary for the development of methods of controlled nanosized layers deposition of more refractory metals (in particular, Ta, Re, Hf and others), which is promising for use in modern micro- and nanoelectronic technology. Value/originality. The complex technique of controlled deposition of nanoscale metal films with a predetermined structure and predicted electrophysical and optical properties in a wide range of thicknesses has been created. Metal films preparing process with specified average linear grain sizes was achieved by use the methods of "frozen condensation" and weakly conductive wetting underlayers substances that prevent coalescence of metal nuclei and selection of temperature stabilization mode at temperatures close to the upper limit of the first temperature zone of Movchan-Demchyshyn Zone model.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Atomic Layer Etching"

1

Agarwal, A., and M. J. Kushner. "Plasma atomic layer etching." In The 33rd IEEE International Conference on Plasma Science, 2006. ICOPS 2006. IEEE Conference Record - Abstracts. IEEE, 2006. http://dx.doi.org/10.1109/plasma.2006.1707342.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Ghazaryan, Lilit, Ernst-Bernhard Kley, Andreas Tünnermann, and Adriana Szeghalmi. "Nanoporous SiO2made by atomic layer deposition and atomic layer etching." In SPIE Optical Systems Design, edited by Michel Lequime, H. Angus Macleod, and Detlev Ristau. SPIE, 2015. http://dx.doi.org/10.1117/12.2192972.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Agarwal, Ankur, and Mark J. Kushner. "Recipes for Plasma Atomic Layer Etching." In 2007 IEEE Pulsed Power Plasma Science Conference. IEEE, 2007. http://dx.doi.org/10.1109/ppps.2007.4345771.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Ranjan, Alok, and Sonam D. Sherpa. "New frontiers of atomic layer etching." In Advanced Etch Technology for Nanopatterning VII, edited by Sebastian U. Engelmann and Richard S. Wise. SPIE, 2018. http://dx.doi.org/10.1117/12.2284662.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Lill, Thorsten, Keren J. Kanarik, Samantha Tan, Skip Berry, Andreas Fischer, Vahid Vahedi, and Richard A. Gottscho. "Atomic Layer Etching: Benefits and Challenges." In 2018 IEEE 2nd Electron Devices Technology and Manufacturing Conference (EDTM). IEEE, 2018. http://dx.doi.org/10.1109/edtm.2018.8421486.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Huffman, Craig, Eric A. Joseph, and Satyavolu PapaRao. "Moving from thin films to atomic layers — Atomic layer etching." In 2015 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA). IEEE, 2015. http://dx.doi.org/10.1109/vlsi-tsa.2015.7117594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Zhang, Y., J. Chong, C. Wang, Q. Xie, and D. Li. "Quasi-Atomic Layer Etching Technology for High Uniformity Etching Applications." In 2020 China Semiconductor Technology International Conference (CSTIC). IEEE, 2020. http://dx.doi.org/10.1109/cstic49141.2020.9282601.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

George, Steven M. "Thermal Atomic Layer Etching of Microelectronic Materials." In 2021 5th IEEE Electron Devices Technology & Manufacturing Conference (EDTM). IEEE, 2021. http://dx.doi.org/10.1109/edtm50988.2021.9421056.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Cooke, Mikeke. "Atomic Layer Etching: Introduction and First Uses." In 60th Society of Vacuum Coaters Annual Technical Conference. Society of Vacuum Coaters, 2018. http://dx.doi.org/10.14332/svc17.proc.42800.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Fischer, Andreas, Richard Janek, John Boniface, Thorsten Lill, K. J. Kanarik, Yang Pan, Vahid Vahedi, and Richard A. Gottscho. "Plasma-assisted thermal atomic layer etching of Al2O3." In SPIE Advanced Lithography, edited by Sebastian U. Engelmann and Rich S. Wise. SPIE, 2017. http://dx.doi.org/10.1117/12.2258129.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Atomic Layer Etching"

1

Economou, Demetre J., and Vincent M. Donnelly. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching. Office of Scientific and Technical Information (OSTI), May 2014. http://dx.doi.org/10.2172/1130983.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography