Books on the topic 'Application specific processors'

To see the other types of publications on this topic, follow the link: Application specific processors.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 books for your research on the topic 'Application specific processors.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse books on a wide variety of disciplines and organise your bibliography correctly.

1

Swartzlander, Earl E. Application Specific Processors. Boston, MA: Springer US, 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Swartzlander, Earl E., ed. Application Specific Processors. Boston, MA: Springer US, 1997. http://dx.doi.org/10.1007/978-1-4613-1457-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

E, Swartzlander Earl, ed. Application specific processors. Boston: Kluwer Academic, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Embedded DSP processor design: Application specific instruction set processors. Amsterdam: Morgan Kaufmann, 2008.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

1952-, Richards M. A., Gadient Anthony J. 1960-, and Frank Geoffrey A. 1948-, eds. Rapid prototyping of application specific signal processors. Boston: Kluwer Academic Publishers, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Plaks, Toomas P. Piecewise regular arrays: Application-specific computations. Australia: Gordon and Breach Science, 1999.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Calif.) IEEE Symposium on Application Specific Processors (2008 Anaheim. 2008 Symposium on Application specific Processors: Anaheim, CA, 8-9 June 2008. Piscataway, NJ: IEEE, 2008.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

Lekkas, Panos C. Network Processors. New York: McGraw-Hill, 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

International Conference on Application Specific Array Processors. (1993 Venice, Italy). Proceedings: The International Conference on Application Specific Array Processors : October 25-27, 1993, Venice, Italy. Los Alamitos, Calif: IEEE Computer Society, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

International Conference on Application Specific Array Processors. (8th 1994 San Francisco, Calif.). The International Conference on Application Specific Array Processors, August 22-24, 1994, San Francisco, California: Proceedings. Los Alamitos, Calif: IEEE Computer Society Press, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
11

1944-, Deprettere Ed F., and IEEE Computer Society, eds. IEEE International Conference on Application-Specific Systems, Architectures and Processors: Proceedings : ASAP 2003 : 24-26 June, 2003, The Hague, The Netherlands. Los Alamitos, Calif: IEEE Computer Society, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
12

International Conference on Application Specific Systems, Architectures and Processors (12th 2000 Boston, Massachusetts). IEEE International Conference on Application-Specific Systems, Architectures and Processors: Proceedings, July 10-12, 2000, Boston, Massachusetts. Los Alamitos, Calif: IEEE Computer Society Press, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
13

1967-, Schulte Michael Joseph, and IEEE Computer Society. Technical Committee on VLSI., eds. IEEE International Conference on Application-Specific Systems, Architectures and Processors: Proceedings : 17-19 July, 2002 ; San Jose, California. Los Alamitos, California: IEEE Computer Society, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
14

IEEE International Conference on Application-Specific Systems, Architectures, and Processors (16th 2005 Samos, Greece). 16th IEEE International Conference on Application-Specific Systems, Architectures, and Processors: ASAP 2005 : 23-25 July 2005, Samos, Greece. Los Alamitos, Calif: IEEE Computer Society, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
15

Crowley, Patrick. Network processor design: Issues and practices. San Francisco, Calif: Morgan Kaufmann, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
16

Eberli, Stefan. Application-specific processor for MIMO-OFDM software-defined radio. Konstanz: Hartung-Gorre Verlag, 2009.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
17

Farooq, Umer. Tree-based Heterogeneous FPGA Architectures: Application Specific Exploration and Optimization. New York, NY: Springer New York, 2012.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
18

Nelson, Victor P. 74AS-EVM-16: A microprogramming approach to application-specific instruction set processor design : register-transfer level design : laboratory manual no. 1. Dallas, TX: Texas Instruments, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
19

Nelson, Victor P. 74AS-EVM-16: A microprogramming approach to application-specific instruction set processor design : register-transfer level design : laboratory manual no. 1. Dallas, TX: Texas Instruments, 1987.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
20

Glinskiy, Vladimir, Vladimir Ionin, Lyudmila Serga, Natal'ya Ovechkina, Tat'yana Dudina, Liya Harchenko, Ekaterina Simonova, Sergey Chikin, Elena Shmarihina, and Lyudmila Yaroslavceva. Statistics. ru: INFRA-M Academic Publishing LLC., 2017. http://dx.doi.org/10.12737/552459.

Full text
Abstract:
The content of the textbook is divided into three parts: the theory of statistics and the application of its methods in specific studies — macro - and micro-economic statistics, respectively. Meets the requirements of the Federal state educational standard of higher education of the latest generation. For students studying in an enlarged group of specialties "Economics and management", and all those interested in the problems of analysis of specific processes in the fields of Economics, management, production, accounting and Finance, as well as in other situations related to the analysis of mass statistical data.
APA, Harvard, Vancouver, ISO, and other styles
21

author, Sarich Marco 1985, ed. Metastability and Markov state models in molecular dynamics: Modeling, analysis, algorithmic approaches. Providence, Rhode Island: American Mathematical Society, 2013.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
22

Novikova, Mariya. Fundamentals of Service sector marketing. ru: INFRA-M Academic Publishing LLC., 2021. http://dx.doi.org/10.12737/987878.

Full text
Abstract:
The textbook discusses the key concepts, elements and processes of marketing management used in the practice of the hairdressing services market. The purpose of the textbook is to familiarize students with the specifics of marketing services, as well as the basics of theoretical and practical knowledge in the marketing of hairdressing salons. The peculiarity of this tutorial is that it combines the general theory of marketing in commercial enterprises with practical examples of the application of this knowledge in hairdressing. This allows students to form a systematic and analytical knowledge in the field of marketing in the market of hairdressing services. Meets the requirements of the federal state educational standards of secondary vocational education of the latest generation. It is intended for students of secondary vocational education institutions studying in the specialty 43.02.13 "Technologies of hairdressing".
APA, Harvard, Vancouver, ISO, and other styles
23

Len'kov, Roman. Social forecasting and planning. ru: INFRA-M Academic Publishing LLC., 2020. http://dx.doi.org/10.12737/1058988.

Full text
Abstract:
The tutorial describes the preconditions of sociopragmatics research in Russia on the background of evolutionary processes of social prognostics of the twentieth century. Considered the essential characteristics of social forecasting, its subject and range of issues. Based on analysis of classification schemes methods of scientific forecasting offers the author's approach to classification of methods of social forecasting. Special attention is paid to the description of the characteristics, the specific application and selection procedure of the ways of making social predictions. Theoretical and applied analysis of the foundations of social design, the direction of its implementation and research methods used for it. The conceptual basis of design in education on the example of the educational process in the University. Given the model structure, rationale and testing of design solutions. The third edition of the book is dedicated to the 100th anniversary of the State University of management. Meets the current requirements of the Federal state educational standard of higher education. For students of higher educational institutions, students of humanitarian directions and specialities.
APA, Harvard, Vancouver, ISO, and other styles
24

1973-, Warzel Simone, ed. Random operators: Disorder effects on quantum spectra and dynamics. Providence, Rhode Island: American Mathematical Society, 2015.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
25

Application Specific Processors. Springer, 2011.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
26

Jr, Earl E. Swartzlander. Application Specific Processors. Springer, 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
27

Liu, Dake. Embedded DSP Processor Design: Application Specific Instruction Set Processors. Elsevier Science & Technology Books, 2008.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
28

Richards, Mark A., Anthony J. Gadient, and Geoffrey A. Frank. Rapid Prototyping of Application Specific Signal Processors. Springer, 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
29

International Conference on Application Specific Array Processors. Ieee Computer Society, 1990.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
30

Dadda, Luigi. Application Specific Array Processors, International Conference (ASAP '93). IEEE Computer Society Press, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
31

1994 International Conference on Application Specific Arrary Processors. IEEE Computer Society Press, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
32

Design of Energy-Efficient Application-Specific Instruction Set Processors. Boston: Kluwer Academic Publishers, 2004. http://dx.doi.org/10.1007/b105292.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Design of Energy-Efficient Application-Specific Instruction Set Processors. Springer, 2004.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
34

Glökler, Tilman, and Heinrich Meyr. Design of Energy-Efficient Application-Specific Instruction Set Processors. Springer, 2010.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
35

Glökler, Tilman, and Heinrich Meyr. Design of Energy-Efficient Application-Specific Instruction Set Processors. Springer London, Limited, 2007.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
36

IEEE Computer Society. Application-Specific Systems, Architecture Processors (Asap 2002), 13th IEEE International Conference. Ieee, 2002.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
37

Applica, Ieee International Conference on. 16th International Conference on Application-Specific Systems, Architecture and Processors: ASAP 2005 .. Institute of Electrical & Electronics Enginee, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
38

2022 IEEE 33rd International Conference on Application Specific Systems, Architectures and Processors (ASAP). IEEE, 2022.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
39

Staff, IEEE. 2021 IEEE 32nd International Conference on Application Specific Systems, Architectures and Processors (ASAP). IEEE, 2021.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
40

Kung, Sun-Yuan, Mateo Valero, and Thomas Lang. Proceedings of the International Conference on Application Specific Array Processors: September 2-4, 1991, Barcelona, Spain. Ieee Computer Society, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
41

Network Processors : Architectures, Protocols and Platforms (Telecom Engineering). McGraw-Hill Professional, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
42

Lekkas, Panos C. Network Processors : Architectures, Protocols and Platforms (Telecom Engineering). McGraw-Hill Professional, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
43

Application-Specific Systems, Architectures, and Processors (Asap 2000): 12th International Conference Held on July 10-12, 2000 in Boston, Ma. Institute of Electrical & Electronics Enginee, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
44

Lee, Edward, and Jose Fortes. Proceedings of the International Conference on Application Specific Array Processors August 4-7, 19 92 Berkeley, California. Ieee Computer Society, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
45

(Editor), Lothar Thiele, Jose Fortes (Editor), Kees Vissers (Editor), Valerie Taylor (Editor), Tobias Noll (Editor), and Jurgen Teich (Editor), eds. IEEE International Conference on Application-Specific Systems, Architectures and Processors: July 14-16, 1997 Zurich, Switzerland : Proceedings. Institute of Electrical & Electronics Enginee, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
46

(Editor), Jose Fortes, Catherine Mongenet (Editor), Keshab K. Parhi (Editor), and Valerie E. Taylor (Editor), eds. International Conference on Application-Specific Systems, Architectures and Processors 1996: Proceedings : August 19-21, 1996 Chicago, Illinois. IEEE Computer Society Press, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
47

Deprettere, Ed F. IEEE International Conference on Application-Specific Systems, Architectures and Processors: Proceedings: ASAP 2003: 24-26 June, 2003, the Hague, the. Institute of Electrical & Electronics Enginee, 2003.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
48

(Editor), Peter Cappello, Catherine Mongenet (Editor), Guy-Rene Perrin (Editor), Patrice Quinton (Editor), and Yves Robert (Editor), eds. The International Conference on Application Specific Array Processors: Proceedings July 24-26, 1995 Universite Louis Pasteur Ufr Mathematiques Et Informatique Strasbourg, France. IEEE Computer Society Press, 1995.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
49

Stothard, David. The development of an application specific processor for the transmission line matrix method. 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
50

Mehrez, Habib, Umer Farooq, and Zied Marrakchi. Tree-based Heterogeneous FPGA Architectures: Application Specific Exploration and Optimization. Springer, 2014.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography