Dissertations / Theses on the topic 'Application-specific integrated circuits'

To see the other types of publications on this topic, follow the link: Application-specific integrated circuits.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Application-specific integrated circuits.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Zahir, Achmed Rumi. "Controller synthesis for application specific integrated circuits /." Zürich, 1991. http://e-collection.ethbib.ethz.ch/show?type=diss&nr=9530.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kalab, Peter Carleton University Dissertation Engineering Electrical. "Automated microcontroller synthesis for application-specific integrated circuits." Ottawa, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Wang, Jun. "Physical design with fabrication : friendly layout /." View the Table of Contents & Abstract, 2004. http://sunzi.lib.hku.hk/hkuto/record/B30575643.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Cronquist, Darren C. "Reconfigurable pipelined datapaths /." Thesis, Connect to this title online; UW restricted, 1999. http://hdl.handle.net/1773/6988.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Ranganathan, Lavakumar. "Sensor-array chip hybrid for simultaneous multiple analyte detection /." Full text open access at:, 2007. http://content.ohsu.edu/u?/etd,260.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Salah, Ben Romdhane Mohamed. "Design synthesis of application-specification ICs for DSP." Diss., Georgia Institute of Technology, 1995. http://hdl.handle.net/1853/15392.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Yu, Haiming. "Analog ASICs for a Depth of Interaction (DOI) Positron Emission Tomography (PET) dectector module /." Thesis, Connect to this title online; UW restricted, 1999. http://hdl.handle.net/1773/6066.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Hoffman, Joseph A. "VHDL modeling of ASIC power dissipation." Master's thesis, This resource online, 1994. http://scholar.lib.vt.edu/theses/available/etd-10222009-124831/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Staunstrup, Jørgen. "A formal approach to hardware design /." Boston [u.a.] : Kluwer Acad. Publ, 1994. http://www.loc.gov/catdir/enhancements/fy0820/93043582-d.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Wang, Jun, and 王雋. "Physical design with fabrication: friendly layout." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2004. http://hub.hku.hk/bib/B45015119.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Peixoto, Helvio Pereira. "Reuse and estimation techniques for embedded systems-on-a-chip /." Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Son, Kyung-Im. "A multi-class, multi-dimensional classifier as a topology selector for analog circuit design / by Kyung-Im Son." Thesis, Connect to this title online; UW restricted, 1998. http://hdl.handle.net/1773/5919.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Van, Aardt Stefan. "Total ionizing dose and single event upset testing of flash based field programmable gate arrays." Thesis, Nelson Mandela Metropolitan University, 2015. http://hdl.handle.net/10948/12548.

Full text
Abstract:
The effectiveness of implementing field programmable gate arrays (FPGAs) in communication, military, space and high radiation environment applications, coupled with the increased accessibility of private individuals and researchers to launch satellites, has led to an increased interest in commercial off the shelf components. The metal oxide semiconductor (MOS) structures of FPGAs however, are sensitive to radiation effects which can lead to decreased reliability of the device. In order to successfully implement a FPGA based system in a radiation environment, such as on-board a satellite, the single event upset (SEU) and total ionizing dose (TID) characteristics of the device must first be established. This research experimentally determines a research procedure which could accurately determine the SEU cross sections and TID characteristics of various mitigation techniques as well as control circuits implemented in a ProASIC3 A3P1000 FPGA. To gain an understanding of the SEU effects of the implemented circuits, the test FPGA was irradiated by a 66MeV proton beam at the iTemba LABS facility. Through means of irradiation, the SEU cross section of various communication, motor control and mitigation schemes circuits, induced by high energy proton strikes was investigated. The implementation of a full global triple modular redundancy (TMR) and a combination of TMR and a AND-OR multiplexer filter was found to most effectively mitigate SEUs in comparison to the other techniques. When comparing the communication and motor control circuits, the high frequency I2C and SPI circuits experienced a higher number of upsets when compared to a low frequency servo motor control circuit. To gain a better understanding of the absorbed dose effects, experimental TID testing was conducted by irradiating the test FPGA with a cobalt-60 (Co-60) source. An accumulated absorbed dose resulted in the fluctuation of the device supply current and operating voltages as well as resulted in output errors. The TMR and TMR filtering combination mitigation techniques again were found to be the most effective methods of mitigation.
APA, Harvard, Vancouver, ISO, and other styles
14

Lin, Cheng-Hsien Kenny. "An ASIC application for DNA sequencing by Smith-Waterman algorithm (DNASSWA) /." [St. Lucia, Qld.], 2004. http://www.library.uq.edu.au/pdfserve.php?image=thesisabs/absthe18716.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Long, Ethan Schuyler. "The Role of Temperature in Testing Deep Submicron CMOS ASICs." PDXScholar, 2003. https://pdxscholar.library.pdx.edu/open_access_etds/34.

Full text
Abstract:
Among the many efforts to improve the IC test process are tests that attempt to differentiate between healthy and defective or low reliability ICs by manipulating the operating conditions of the IC being tested. This thesis attempts to improve the common understanding of multiple and targeted temperature testing by evaluating work published on the subject to date and by presenting previously unpublished empirical observations. The empirical observations are made from SCAN and LBIST based MinVDD measurements, Static IDD measurements, as well as parametric measurements of transistor characteristics. The test vehicles used are 0.25μm and 0.18μm CMOS ASICs fabricated by LSI Logic. An IC’s performance is bound by a three dimensional space defined by VDD, frequency, and temperature. A model is presented to explain the boundaries of the performance region in terms of the ability of the IC’s constituent transistors to provide power and the Zero-Temperature-Coefficient (ZTC). Also, it is determined that multiple temperature testing can add new tests to current test suites to improve the resolution between healthy and defective ICs.
APA, Harvard, Vancouver, ISO, and other styles
16

Sivaraman, Guru. "An emulation-based methodology for integrating design, testing and diagnosis of application-specific integrated circuits." Thesis, Massachusetts Institute of Technology, 1994. http://hdl.handle.net/1721.1/36470.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Rodriguez, Luis. "Design of a Monolithic Bipolar Junction Transistor Amplifier in the Common Emitter with Cascaded Common Collector Configuration." Honors in the Major Thesis, University of Central Florida, 2004. http://digital.library.ucf.edu/cdm/ref/collection/ETH/id/724.

Full text
Abstract:
This item is only available in print in the UCF Libraries. If this is your Honors Thesis, you can help us make it available online for use by researchers around the world by following the instructions on the distribution consent form at http://library.ucf
Bachelors
Engineering and Computer Science
Electrical Engineering
APA, Harvard, Vancouver, ISO, and other styles
18

Wong, Wilson W. K. (Wilson Wai Keung) Carleton University Dissertation Engineering Electrical. "A fast fourier transform radix-2 complex butterfly with built-in self-test." Ottawa, 1992.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
19

Rachamadugu, Arun. "Digital implementation of high speed pulse shaping filters and address based serial peripheral interface design." Thesis, Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/26603.

Full text
Abstract:
Thesis (M. S.)--Electrical and Computer Engineering, Georgia Institute of Technology, 2009.
Committee Chair: Laskar, Joy; Committee Member: Anderson, David; Committee Member: Cressler, John. Part of the SMARTech Electronic Thesis and Dissertation Collection.
APA, Harvard, Vancouver, ISO, and other styles
20

Dutton, Marcus. "Flexible architecture methods for graphics processing." Diss., Georgia Institute of Technology, 2011. http://hdl.handle.net/1853/43658.

Full text
Abstract:
The FPGA GPU architecture proposed in this thesis was motivated by underserved markets for graphics processing that desire flexibility, long-term device availability, scalability, certifiability, and high reliability. These markets of industrial, medical, and avionics applications often are forced to rely on the latest GPUs that were actually designed for gaming PCs or handheld consumer devices. The architecture for the GPU in this thesis was crafted specifically for an FPGA and therefore takes advantage of its capabilities while also avoiding its limitations. Previous work did not specifically exploit the FPGA's structures and instead used FPGA implementations merely as an integration platform prior to proceeding on to a final ASIC design. The target of an FPGA for this architecture is also important because its flexibility and programmability allow the GPU's performance to be scaled or supplemented to fit unique application requirements. This tailoring of the architecture to specific requirements minimizes power consumption and device cost while still satisfying performance, certification, and device availability requirements. To demonstrate the feasibility of the flexible FPGA GPU architectural concepts, the architecture is applied to an avionics application and analyzed to confirm satisfactory results. The architecture is further validated through the development of extensions to support more comprehensive graphics processing applications. In addition, the breadth of this research is illustrated through its applicability to general-purpose computations and more specifically, scientific visualizations.
APA, Harvard, Vancouver, ISO, and other styles
21

Rüdiger, Jörg. "Feasability of a laterally emitting thin film electroluminescence device as an application specific integrated display." Thesis, Nottingham Trent University, 2001. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.341266.

Full text
APA, Harvard, Vancouver, ISO, and other styles
22

Xu, Qiang Nicolici Nicola. "Solutions for emerging problems in modular system-on-a-chip testing." *McMaster only, 2005.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
23

Zushi, Takahiro. "Study on Miniaturization of Plasma Wave Measurement Systems." Kyoto University, 2019. http://hdl.handle.net/2433/242507.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Mutigwe, Charles. "Automatic synthesis of application-specific processors." Thesis, Bloemfontein : Central University of Technology, Free State, 2012. http://hdl.handle.net/11462/163.

Full text
Abstract:
Thesis (D. Tech. (Engineering: Electrical)) -- Central University of technology, Free State, 2012
This thesis describes a method for the automatic generation of appli- cation speci_c processors. The thesis was organized into three sepa- rate but interrelated studies, which together provide: a justi_cation for the method used, a theory that supports the method, and a soft- ware application that realizes the method. The _rst study looked at how modern day microprocessors utilize their hardware resources and it proposed a metric, called core density, for measuring the utilization rate. The core density is a function of the microprocessor's instruction set and the application scheduled to run on that microprocessor. This study concluded that modern day microprocessors use their resources very ine_ciently and proposed the use of subset processors to exe- cute the same applications more e_ciently. The second study sought to provide a theoretical framework for the use of subset processors by developing a generic formal model of computer architecture. To demonstrate the model's versatility, it was used to describe a number of computer architecture components and entire computing systems. The third study describes the development of a set of software tools that enable the automatic generation of application speci_c proces- sors. The FiT toolkit automatically generates a unique Hardware Description Language (HDL) description of a processor based on an application binary _le and a parameterizable template of a generic mi- croprocessor. Area-optimized and performance-optimized custom soft processors were generated using the FiT toolkit and the utilization of the hardware resources by the custom soft processors was character- ized. The FiT toolkit was combined with an ANSI C compiler and a third-party tool for programming _eld-programmable gate arrays (FPGAs) to create an unconstrained C-to-silicon compiler.
APA, Harvard, Vancouver, ISO, and other styles
25

Radhakrishnan, Swarnalatha Computer Science &amp Engineering Faculty of Engineering UNSW. "Heterogeneous multi-pipeline application specific instruction-set processor design and implementation." Awarded by:University of New South Wales. Computer Science and Engineering, 2006. http://handle.unsw.edu.au/1959.4/29161.

Full text
Abstract:
Embedded systems are becoming ubiquitous, primarily due to the fast evolution of digital electronic devices. The design of modern embedded systems requires systems to exhibit, high performance and reliability, yet have short design time and low cost. Application Specific Instruction set processors (ASIPs) are widely used in embedded system since they are economical to use, flexible, and reusable (thus saves design time). During the last decade research work on ASIPs have been carried out in mainly for single pipelined processors. Improving performance in processors is possible by exploring the available parallelism in the program. Designing of multiple parallel execution paths for parallel execution of the processor naturally incurs additional cost. The methodology presented in this dissertation has addressed the problem of improving performance in ASIPs, at minimal additional cost. The devised methodology explores the available parallelism of an application to generate a multi-pipeline heterogeneous ASIP. The processor design is application specific. No pre-defined IPs are used in the design. The generated processor contains multiple standalone pipelined data paths, which are not necessarily identical, and are connected by the necessary bypass paths and control signals. Control unit are separate for each pipeline (though with the same clock) resulting in a simple and cost effective design. By using separate instruction and data memories (Harvard architecture) and by allowing memory access by two separate pipes, the complexity of the controller and buses are reduced. The impact of higher memory latencies is nullified by utilizing parallel pipes during memory access. Efficient bypass network selection and encoding techniques provide a better implementation. The initial design approach with only two pipelines without bypass paths show speed improvements of up to 36% and switching activity reductions of up to 11%. The additional area costs around 16%. An improved design with different number of pipelines (more than two) based on applications show on average of 77% performance improvement with overheads of: 49% on area; 51% on leakage power; 17% on switching activity; and 69% on code size. The design was further trimmed, with bypass path selection and encoding techniques, which show a saving of up to 32% of area and 34% of leakage power with 6% performance improvement and 69% of code size reduction compared to the design approach without these techniques in the multi pipeline design.
APA, Harvard, Vancouver, ISO, and other styles
26

Nguyen, Huy Tam. "Numerical transformations for area, power, and testability optimization in the synthesis of digtal signal processing ASICs." Diss., Georgia Institute of Technology, 1998. http://hdl.handle.net/1853/13548.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Franz, Jonathan D. Duren Russell Walker. "An evaluation of CoWare Inc.'s Processor Designer tool suite for the design of embedded processors." Waco, Tex. : Baylor University, 2008. http://hdl.handle.net/2104/5254.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Brown, Michelle M. "Hardware study on the H.264/AVC video stream parser /." Online version of thesis, 2008. http://hdl.handle.net/1850/7766.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Macías, Montero José Gabriel. "VIPPIX: A readout ASIC for the next generation of human brain PET scanners." Doctoral thesis, Universitat de Barcelona, 2018. http://hdl.handle.net/10803/663182.

Full text
Abstract:
Positron emission tomography (PET) is a molecular imaging technique used for several decades in nuclear medicine that provides precise physiological information of the human body, what is crucial in oncology, cardiology, and neuropsychiatry. Due to physical, the best spatial resolution of PET is approximately 1 mm for human brain scanners. Unfortunately, the minimum resolution of the best commercial PET scanners for humans is 4-5 mm due to technological limitations. In this thesis, an application specific integrated circuit (ASIC) to readout the energy and the time stamp of a high-density and highly-granulated Cadmium Telluride detector for a novel PET scanner design is presented. The research presented here was realized within the framework of Voxel Imaging PET pathfinder ERC project to develop detector modules for positron emission tomography applications and reach their actual physical limits. The VIP PET scanner is based on the stacking of 2-mm thickness pixelated hybrid detectors. Every CdTe detector is pixelated into an array of 10 x 10 voxels of 1 mm x 1 mm x 2 mm size and is connected to a pixelated ASIC to readout independently the energy and the time stamp of every photon interaction. The VIP PET is based on more than 6 million voxels with independent energy and timing readout to reach the physical limits of PET. The simulated performance based on the properties of CdTe detectors shows a scatter fraction of approximately 4 % due to an excellent energy resolution of 1.6 % FWHM of the CdTe detectors. By simulation, the VIP PET is able to distinguish 1 mm size radioactive point-like source. The characterization of 2-mm thickness CdTe detectors using commercially available single-channel readout electronics is reported. Using a Sodium-22 radioactive source, the 511 keV photopeak resolution and the coincidence time resolution of back-to-back photons were measured with – 1000 V/mm detector bias voltage at -8 Celsius degree. An energy resolution of 1.6 % FWHM and a time coincidence resolution of 6 ns FWHM were obtained for photoelectric interactions. The architecture of the VIPPIX ASIC, i.e. the ASIC developed for VIP project, is based on an array of 10 x 10 independent pixel electronics controlled by a global controller and a common time to digital converter (TDC). Additional voltage and current references are generated in the ASIC’s back-end with a temperature sensor and a chip-ID cell. Every pixel electronics composes of a programmable gain preamplifier with detector’s leakage dynamic compensation, a tuneable peak-time pulse shaper connected to a peak-and-detect circuit, a 10-bit analog-to- digital converter (ADC), a pulse discriminator with adjustable offset, and a local pixel digital controller. The measured equivalent noise charge (ENC) of the pixel is 150 e- RMS and the trigger time jitter is approximately 1 ns for energy depositions larger than 200 keV. The time resolution of the integrated TDC is 600 ps FWHM. Twelve wafers of VIPPIX ASIC has been fabricated and characterized. Best quality ASICs have been mounted on 720 CdTe detectors and stacked in 18 detector modules with 40 hybrid detectors each to build the VIP PET prototype. Five modules have been characterized with a Sodium-22 radioactive source. The performance of approximately 18000 pixels shows a resolution of 2.2 % FWHM and a coincidence time resolution of 60 ns FWHM for 511 keV photopeak at -250 V/mm detector bias. Therefore, the main goal of the research has been accomplished. A new PET design based on pixelated Cadmium Telluride detectors using dedicated readout ASICs has been successfully fabricated, partly characterized, and is ready for image acquisition.
APA, Harvard, Vancouver, ISO, and other styles
30

Zhang, Mingyang 1981. "Macromodeling and simulation of linear components characterized by measured parameters." Thesis, McGill University, 2008. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=112589.

Full text
Abstract:
Recently, microelectronics designs have reached extremely high operating frequencies as well as very small die and package sizes. This has made signal integrity an important bottleneck in the design process, and resulted in the inclusion of signal integrity simulation in the computer aided design flow. However, such simulations are often difficult because in many cases it is impossible to derive analytical models for certain passive elements, and the only available data are frequency-domain measurements or full-wave simulations. Furthermore, at such high frequencies these components are distributed in nature and require a large number of poles to be properly characterized. Simple lumped equivalent circuits are therefore difficult to obtain, and more systematic approaches are required. In this thesis we study the Vector Fitting techniques for obtaining such equivalent model and propose a more streamlined approach for preserving passivity while maintaining accuracy.
APA, Harvard, Vancouver, ISO, and other styles
31

Cheung, Newton Computer Science &amp Engineering Faculty of Engineering UNSW. "Design automation methodologies for extensible processor platform." Awarded by:University of New South Wales. School of Computer Science and Engineering, 2005. http://handle.unsw.edu.au/1959.4/26118.

Full text
Abstract:
This thesis addresses two ubiquitous trends in the embedded system world - the increasing importance of design turnaround time as a design metric, and the move towards closing the design productivity gap. Adopting the right choice of design approach has been recognised as an integral part of the design flow in order to meet desired characteristics such as increasing software content, satisfying the growing complexities of an application, reusing off-the-shelf components, and exploring design metrics tradeoff, which closes the design productivity gap. The importance of design turnaround time is motivated by the intensive competition between manufacturers, especially makers of mainstream electronic consumer products, who shrinks the product life cycle and requires faster time-to-market to maximise economic benefits. This thesis presents a suite of design automation methodologies to automatically design embedded systems for an application in the state-of-the-art design approach - the extensible processor platform. These design automation methodologies systematise the extensible processor platform???s design flow, with particular emphasis on solving four challenging design problems: i) code segment identification; ii) instruction generation; iii) architectural customisation selection; and iv) processor evaluation. Our suite of design automation methodologies includes: i) a semi-automatic design system - to design an extensible processor that maximises the application performance while satisfying the area constraint. By specifying a fitting function to identify suitable code segments within an application, a two-level hierarchy selection algorithm is used to first select a predefined processor and then select the right instruction, and a performance estimator is used to estimate an application's performance; ii) a tool to match instructions - to automatically match the pre-designed instructions with computationally intensive code segments, reducing verification time and effort; iii) an instructions estimation model - to estimate the area overhead, latency, power consumption of extensible instructions, exploring larger design space; and iv) an instructions generation tool - to generate new extensible instructions that maximises the speedup while minimising power dissipation. A number of techniques such as system decomposition, combinational equivalence checking and regression analysis etc., have been heavily relied upon in the creation of the final design system. This thesis shows results at every stage to demonstrate the efficacy of our design methodologies in the creation of extensible processors. The methodologies and results presented in this thesis demonstrate that automating the design process for an extensible processor platform results in significant performance increase - on average, an increase of 4.74x (up to 15.71x) compared to the original base processor. Our system achieves significant design turnaround time savings (2.5% of the full simulation time for the entire design space) with majority Pareto points obtained (91% on average), and can lead to fewer and faster design iterations. Our instruction matching tool is 7.3x faster on average compared to the best known approaches to the problem (partial simulations). Our estimation model has a mean absolute error as small as 3.4% (6.7% max.) for area overhead, 5.9% (9.4% max.) for latency, and 4.2% (7.2% max.) for power consumption, compared to estimation through the time consuming synthesis and simulation steps using commercial tools. Finally, the instruction generation tool reduces energy consumption by a further 5.8% on average (up to 17.7%) compared to extensible instructions generated by previous approaches.
APA, Harvard, Vancouver, ISO, and other styles
32

Seo, Chung-Seok. "Physical Design of Optoelectronic System-on-a-Chip/Package Using Electrical and Optical Interconnects: CAD Tools and Algorithms." Diss., Available online, Georgia Institute of Technology, 2005, 2004. http://etd.gatech.edu/theses/available/etd-11102004-150844/.

Full text
Abstract:
Thesis (Ph. D.)--Electrical and Computer Engineering, Georgia Institute of Technology, 2005.
David E. Schimmel, Committee Member ; C.P. Wong, Committee Member ; John A. Buck, Committee Member ; Abhijit Chatterjee, Committee Chair ; Madhavan Swaminathan, Committee Member. Vita. Includes bibliographical references.
APA, Harvard, Vancouver, ISO, and other styles
33

Grobler, Frederik Antonie. "The development of harmonic content and quality of electricity supply measuring system incorporating scada processing." Thesis, Bloemfontein : Central University of Technology, Free State, 2005. http://hdl.handle.net/11462/61.

Full text
Abstract:
Thesis (D.Tech (Engineering Electrical)) - Central University of Technology, Free State, 2005
When Thomas Edison invented his carbon filament lamp in 1879, gas shares fell overnight. A committee of inquiry was set up to examine the future possibilities of the new method of lighting, and had reached the conclusion that electric light in the home was fanciful and absurd. Today electric light burns in practically every house in the civilised world, with many great advances in the production and use of electricity and electric power supplied by various utilities. The objective of the electric utility to deliver pure sinusoidal voltage at fairly constant magnitude throughout their system is complicated by the fact that there are currently loads on the system that produce harmonic voltages, which result in distorted voltages and currents that can adversely impact on the system performance in different ways. Because the numbers of harmonic producing loads have increased over the years, it has become necessary to address their influence, when making any additions or changes to an installation. Quality of supply measurements have long been used to characterise non-linearity on the power system, and have traditionally been measured with expensive portable analysers. A potentially faster, more integrated, and more flexible solution to measure the harmonics with a Supervisory System is accomplished by this research. Any script which aspired to cover in full detail the whole field of a subject so enormous as techniques to measure the quality of electricity supply on a SCADA system, would hardly be practical in less than a few volumes. The pretensions of this research are both modest and of a more immediate value to the reader.
APA, Harvard, Vancouver, ISO, and other styles
34

Akgul, Bilge Ebru Saglam. "The System-on-a-Chip Lock Cache." Diss., Georgia Institute of Technology, 2004. http://hdl.handle.net/1853/5253.

Full text
Abstract:
In this dissertation, we implement efficient lock-based synchronization by a novel, high performance, simple and scalable hardware technique and associated software for a target shared-memory multiprocessor System-on-a-Chip (SoC). The custom hardware part of our solution is provided in the form of an intellectual property (IP) hardware unit which we call the SoC Lock Cache (SoCLC). SoCLC provides effective lock hand-off by reducing on-chip memory traffic and improving performance in terms of lock latency, lock delay and bandwidth consumption. The proposed solution is independent from the memory hierarchy, cache protocol and the processor architectures used in the SoC, which enables easily applicable implementations of the SoCLC (e.g., as a reconfigurable or partially/fully custom logic), and which distinguishes SoCLC from previous approaches. Furthermore, the SoCLC mechanism has been extended to support priority inheritance with an immediate priority ceiling protocol (IPCP) implemented in hardware, which enhances the hard real-time performance of the system. Our experimental results in a four-processor SoC indicate that SoCLC can achieve up to 37% overall speedup over spin-lock and up to 48% overall speedup over MCS for a microbenchmark with false sharing. The priority inheritance implemented as part of the SoCLC hardware, on the other hand, achieves 1.43X speedup in overall execution time of a robot application when compared to the priority inheritance implementation under the Atalanta real-time operating system. Furthermore, it has been shown that with the IPCP mechanism integrated into the SoCLC, all of the tasks of the robot application could meet their deadlines (e.g., a high priority task with 250us worst case response time could complete its execution in 93us with SoCLC, however the same task missed its deadline by completing its execution in 283us without SoCLC). Therefore, with IPCP support, our solution can provide better real-time guarantees for real-time systems. To automate SoCLC design, we have also developed an SoCLC-generator tool, PARLAK, that generates user specified configurations of a custom SoCLC. We used PARLAK to generate SoCLCs from a version for two processors with 32 lock variables occupying 2,520 gates up to a version for fourteen processors with 256 lock variables occupying 78,240 gates.
APA, Harvard, Vancouver, ISO, and other styles
35

Chadha, Vishal. "Design and Implementation of a Second Generation Logic Cluster for Multi-Technology Field Programmable Gate Arrays." University of Cincinnati / OhioLINK, 2005. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1126539992.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Mirchandani, Chandru, David Fisher, and Parminder Ghuman. "Cost Beneficial Solution for High Rate Data Processing." International Foundation for Telemetering, 1999. http://hdl.handle.net/10150/606836.

Full text
Abstract:
International Telemetering Conference Proceedings / October 25-28, 1999 / Riviera Hotel and Convention Center, Las Vegas, Nevada
GSFC in keeping with the tenets of NASA has been aggressively investigating new technologies for spacecraft and ground communications and processing. The application of these technologies, together with standardized telemetry formats, make it possible to build systems that provide high-performance at low cost in a short development cycle. The High Rate Telemetry Acquisition System (HRTAS) Prototype is one such effort that has validated Goddard's push towards faster, better and cheaper. The HRTAS system architecture is based on the Peripheral Component Interconnect (PCI) bus and VLSI Application-Specific Integrated Circuits (ASICs). These ASICs perform frame synchronization, bit-transition density decoding, cyclic redundancy code (CRC) error checking, Reed-Solomon error detection/correction, data unit sorting, packet extraction, annotation and other service processing. This processing in performed at rates of up to and greater than 150 Mbps sustained using a high-end performance workstation running standard UNIX O/S, (DEC 4100 with DEC UNIX or better). ASICs are also used for the digital reception of Intermediate Frequency (IF) telemetry as well as the spacecraft command interface for commands and data simulations. To improve the efficiency of the back-end processing, the level zero processing sorting element is being developed. This will provide a complete hardware solution to extracting and sorting source data units and making these available in separate files on a remote disk system. Research is on going to extend this development to higher levels of the science data processing pipeline. The fact that level 1 and higher processing is instrument dependent; an acceleration approach utilizing ASICs is not feasible. The advent of field programmable gate array (FPGA) based computing, referred to as adaptive or reconfigurable computing, provides a processing performance close to ASIC levels while maintaining much of the programmability of traditional microprocessor based systems. This adaptive computing paradigm has been successfully demonstrated and its cost performance validated, to make it a viable technology for the level one and higher processing element for the HRTAS. Higher levels of processing are defined as the extraction of useful information from source telemetry data. This information has to be made available to the science data user in a very short period of time. This paper will describe this low cost solution for high rate data processing at level one and higher processing levels. The paper will further discuss the cost-benefit of this technology in terms of cost, schedule, reliability and performance.
APA, Harvard, Vancouver, ISO, and other styles
37

Le, Thai Q. (Thai Quoc) Carleton University Dissertation Engineering Electrical. "Application specific integrated circuit (ASIC) hardwired microcontroller." Ottawa, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
38

Muppalla, Ashwin K. "Ultra low power multi-gigabit digital CMOS modem technology for millimeter wave wireless systems." Thesis, Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/41084.

Full text
Abstract:
The objective of this research is to present a low power modem technology for a high speed millimeter wave wireless system. The first part of the research focuses on a robust ASIC design methodology. There are several aspects of the ASIC flow that require special attention such as logical synthesis, timing driven physical placement, Clock Tree Synthesis, Static Timing Analysis, estimation and reduction of power consumption and LVS and DRC closure. The latter part is dedicated to high speed baseband circuits such as Coherent and Non coherent demodulator which are critical components of a multi-gigabit wireless communication system. The demodulator operates at input data rates of multiple gigabits per second, which presents the challenge of designing the building blocks to operate at speeds of multiple GHz. The high speed complex multiplier is a major component of the non coherent demodulator. As part of the coherent demodulator the complex multiplier derotates the input sequence by multiplying with cosine and sine functions, Costas error calculator computes the phase error in the derotated input signal. The NCO (Numerically controlled Oscillator) is a look up table based system used to generate the cosine and sine functions, used by the derotator.The CIC filter is used to decimate the costas error signal as the loop bandwidth is significantly smaller compared to the sampling frequency. All these modules put together form the coherent demodulator which is an integral part of the wireless communication system. An implementation of Serdes is also presented which acts as an interface between the baseband modules and the RF front end.
APA, Harvard, Vancouver, ISO, and other styles
39

McArdle, Christopher. "The adoption of Application Specific Integrated Circuit (ASIC) technology by the UK manufacturing base." Thesis, Open University, 1997. http://oro.open.ac.uk/57704/.

Full text
Abstract:
Since the late 1970s, families of microelectronic technologies that could bring the advantages of high levels of electronic integration have been available at reasonable prices and manageable risk to all sectors of UK industry. However, the uptake of these technologies has been painfully slow, particularly by the small and medium enterprises (SMEs) that make up most of the companies currently operating in the UK. It is the aim of the research described here to assess how slow the uptake has been, the reasons for it, and possible solutions to the problem. The problem is investigated with reference to SMEs. In order to reach conclusions it has been necessary to:- • Define Application Specific Integrated Circuit (ASIC) technology and review its history • Review that nature of the UK SME base and identify why they should use ASICs • Review the UK, European and World ASIC markets • Analyse the nature of the UK ASIC design and supply industry • Ascertain the reasons for non-adoption and assess their validity • Relate the findings of this research to appropriate business, organisational and system models • Review past and existing technology-transfer programmes operating in the area of ASIC adoption at a UK, European and world level • Compare the adoption of ASIC technology with the adoption of similar, wide-ranging, new technologies The study concludes that the technology is unique in the wide range of industries to which it can be applied, and that although some advances in adoption have been made, there remains a significant number of hurdles to adoption which can best be addressed by government intervention and supporting activity from supply-companies, trade associations, user-groups and professional and educational institutions. Only once adoption has reached a 'critical mass' can it be assumed that a self-sustaining market will result.
APA, Harvard, Vancouver, ISO, and other styles
40

Moraes, Fernando Gehm. "Synthese topologique de macro-cellules en technologie cmos." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 1994. http://hdl.handle.net/10183/17853.

Full text
Abstract:
Les problèmes majeurs de la génération automatique du dessin des masques des circuits intégrés sont la dépendance vis-à-vis des règles de dessin et le dimensionnement correct des transistors. Les méthodes traditionnelles, telles que l'utilisation de cellules pré-caractérisées, manquent de flexibilité, car les portes des bibliothèques (en nombre limité) sont dessinées et dimensionnées (independarnment de l'application) pour une technologie donnée. Les méthodes de synthèse automatique du dessin des masques ont pour but de surmonter ces problèmes. Les techniques les plus couramment utilisées sont le "gate-matrix" et le "linear-matrix". L'indépendance vis-à-vis des règles de dessin est obtenue en utilisant la technique de description symbolique (dessin sous une grille unitaire), et les dimensions des transistors sont définies par le concepteur ou par un outil de dimensionnement. Nous proposons une méthode et un prototype logiciel pour la synthèse automatique des masques, en utilisant le style "linear-matrix multi-bander". La description d'entree du générateur est un fichier format SPICE (au niveau transistor), ce qui permet d'avoir un nombre très élevé de cellules, en particulier les portes complexes (A01), et ainsi avoir une meilleure optimisation lors de la phase d'assignation technologique. Les macro-cellules générées doivent être assemblées afin de réaliser un circuit complet. Deux contraintes supplémentaires sont ainsi imposées au générateur: malléabilité de la forme et position des broches d'entrées/sorties sur la périphérie de la macro-cellule. Les macro-cellules sont assemblées en utilisant un environnement de conception industriel. Les contributions de ce mémoire de doctorat sont d'une part le développement d'un générateur de macro-cellules flexible ayant les caracteristiques d'indépendance aux règles de dessin et d'intégration dans un environnement de macro-cellules, et d'autre part l'étude detailée des paramètres qui déterminent la surface occupée, les performances électriques et la puissance dissipée des macro-cellules générées automatiquement.
The main problems of the automatic layout synthesis are the design rules dependence and the transistor sizing. The traditional layout synthesis methods, like standard-cells, are not flexible, since the cells in the libraries are designed and sized for a specific technology. In this way, the designer must change his library at each technology improvement. The automatic layout synthesis methods overcomes these problems (design rules dependence and transistor sizing). Examples of layout styles are gate-matrix and linear-matrix. The technology independence is achieved by symbolic description (layout under an unitary grid), and the transistor sizes are defined by the designer or by a sizing tool. From these two constraints, we develop an automatic layout synthesis tool, using a linear-matrix multi-row layout style. The input description for our tool is a Spice file. This descriptions allows to define a greater number of cells (mainly AOIs gates), resulting a technology mapping with less constraints. The generated macro-cells must be assembled in order to construct a complete circuit. Two additional constraints are then imposed to the generator : variable aspect ratio and placement of the inputs/outputs pins in the macro-cell border. The macro-cells are assembled by an industrial CAD environment. The main contributions of this thesis are the development of a macro-cell generator (with the characteristics of technology independence and easy integration in a macro-cell environment) and the analysis of the parameters playing a role in the area, delay and power consumption.
APA, Harvard, Vancouver, ISO, and other styles
41

Le, Pelleter Tugdual. "Méthode de discrétisation adaptée à une logique événementielle pour l'utra-faible consommation : application à la reconnaissance de signaux physiologiques." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT043/document.

Full text
Abstract:
Les systèmes embarqués mobiles font partis intégrante de notre quotidien. Afin de les rendre plus adaptésaux usages, ils ont été miniaturisés et leur autonomie a été augmentée, parfois de façon très considérable.Toutefois, les propositions d’amélioration butent désormais sur les possibilités de la technologie des circuitsintégrés. Pour aller plus loin, il faut donc envisager de repenser la chaîne de traitement du signal afin deréduire la consommation de ces dispositifs. Cette thèse développe une approche originale pour exploiterefficacement l’échantillonnage par traversée de niveaux d’une part et, d’autre part, associe cet échantillonnageà une logique évènementielle afin de réduire drastiquement la consommation d’énergie des systèmesintégrés autonomes. Une méthode de discrétisation adaptée à une application de reconnaissance de signauxphysiologiques, utilisée comme exemple dans cette thèse, y est présentée. Un premier prototype en logiqueévènementielle (asynchrone) sur circuit FPGA a permis de valider cette stratégie et de démontrer les bénéficesde cet échantillonnage dédié en termes de réduction de l’activité par rapport à un échantillonnage uniforme.Un second prototype en logique asynchrone et conçu en technologie CMOS AMS 0.35 μm a permis de validerpar simulation électrique un gain extrêmement important sur la consommation électrique du dispositif
Our everyday life is highly dependent on mobile embedded systems. In order to make them suitable to differentapplications, they have underwent size reduction and lifetime extension. However, these improvementsare currently limited by the possibilities of the integrated circuits technologies. In order to push back theboundaries, it is necessary to reconsider the whole digital signal processing chain from scratch to sustain thepower consumption reduction in this kind of system. This work develops on the first hand a strategy thatsmartly uses the level-crossing sampling scheme and on the other combines this sampling method with eventlogicto highly reduce the power consumption in mobile embedded systems. A discretisation method adaptedto the recognition of physiological patterns application is described. A first event-logic (asynchronous) prototypeimplemented on FPGA proved the potential benefits that an adapted sampling scheme could offersto reduce activity compared to a uniform sampling scheme. Electrical simulations performed on a secondprototype, also designed in asynchronous logic, with CMOS AMS 0.35 μm technology, validated a high gainin power consumption
APA, Harvard, Vancouver, ISO, and other styles
42

Tinguy, Pierre. "Etude et développement d’un oscillateur à quartz intégré." Thesis, Besançon, 2011. http://www.theses.fr/2011BESA2017/document.

Full text
Abstract:
Le besoin croissant de réduction du volume, de la masse et de la consommation des dispositifs électroniques sans pertes deperformances concerne aussi les oscillateurs à quartz utilisés dans les applications métrologiques (bases de temps, capteurs),la téléphonie, la navigation... Dans le cadre de cette problématique, nous avons développé un ASIC (Application SpecificIntegrated Circuit) en technologie 0,35 μm SiGe BiCMOS (Austriamicrosystems®) fonctionnant sous 3,3 V (±10%) pourréaliser un oscillateur à quartz miniature opérationnel sur une gamme en fréquence allant de 10 MHz à 100 MHz. Ce circuitdont la surface ne dépasse pas les 4 mm2 est composé de diverses cellules RF, depuis le système d’entretien de type Colpitts,la mise en forme et jusqu’à l’adaptation du signal à sa charge d’utilisation (50 W ou HCMOS). Ces cellules sont toutespolarisées par une référence de tension interne de type bandgap CMOS. La consommation totale du circuit en charge resteinférieure à 100 mW pour un bruit blanc de phase visé de −150 dBc/Hz à 40 MHz. Pour minimiser la sensibilité thermiquedu résonateur et ainsi pouvoir s’orienter également vers des applications OCXO (Oven Controlled Crystal Oscillator),nous avons partiellement intégré une régulation de température dans notre ASIC. Cette régulation fortement dépendante del’architecture thermo-mécanique a été dimensionnée puis validée au travers de modélisations par analogie sous Spectre®.Notre électronique intégrée nécessite peu de composants externes et nous l’avons reportée par flip chip sur une interfacespécifique pour
The increasing demand for high-performance devices featuring compact, lighter-weight designs with low-power consumptionalso impacts quartz crystal oscillators used in metrological applications (time bases, sensors), telephony or navigation. Inthis context, we have developed an ASIC (Application Specific Integrated Circuit) in 0.35 μm SiGe BiCMOS technology(Austriamicrosystems®) supplied by 3.3 V (±10%) to realize a miniaturized quartz crystal oscillator operating in the 10 MHzto 100 MHz frequency range. The fabricated die hosts several RF cells in a 4 mm2 area, including a sustaining amplifier(Colpitts topology), a signal shaping circuit and an output buffer dedicated to a specific load (50 W or HCMOS). These cellsare biased by a fully integrated CMOS bandgap voltage reference. The die power consumption remains lower than 100 mWfor a targeted phase noise floor as low as −150 dBc/Hz at a 40 MHz carrier frequency. A thermal control loop has in additionbeen partially integrated to the ASIC, in order to reduce the quartz resonator thermal sensitivity as well as to extend thepotential application field of the developed die to oven applications (OCXO). The thermal control, that is strongly dependanton the mechanical design, has been designed and tested by using electrical analogy modeling on Spectre® simulator. Finallyour integrated circuit has been connected to a specific substrate using flip chip technology to realize a miniaturized quartzcrystal oscillator packaged on a TO-8 enclosure (Ø15.2 mm)
APA, Harvard, Vancouver, ISO, and other styles
43

Zhou, Yang. "Development of a CMOS pixel sensor for embedded space dosimeter with low weight and minimal power dissipation." Thesis, Strasbourg, 2014. http://www.theses.fr/2014STRAE021/document.

Full text
Abstract:
Cette thèse porte sur le développement d'un capteur de pixel monolithique CMOS utilisé pourl’identification et le comptage des particules ionisés dan l’espace avec un flux élevé. Un nouveauconcept pour l’identification de l’espèce des particules proposé dans la présente étude, est basésur l'analyse des amas de particules déclenchés. Pour valider ce nouveau concept, un capteur detaille complet, qui comprend la matrice de pixel sensible aux particules ionisés signal, une chaînede traitement du signal analogique, un convertisseur analogue numérique de 3 bits, et untraitement du signal numérique a été conçu dans un processus de 0.35 μm. Le capteur sortiedirectement des informations de flux à travers 4 canaux avec un débit de données très faible(80 bps) et dissipation d’énergie minimale (~ 100 mW). Chaque canal représente particules avecdifférentes espèces et les énergies. La densité maximum de flux mesurable est jusqu'à 108particules/cm2/s (coups s'accumulent < 5%). Un prototype à échelle réduite a été fabriqué et testéavec trois types d'illumination de rayonnement (rayons X, les électrons et laser infrarouge). Tousles résultats obtenus valident le nouveau concept proposé. Un moniteur de rayonnement spatialtrès miniaturisé basé sur un capteur de pixel CMOS peut être prévu. Le moniteur peut présente lesmêmes performances que les compteurs actuels, mais avec une dissipation de puissance réduited'un ordre de grandeur qu'un poids, un volume d'encombrement et un coût moindre. En outre, enraison de ses sorties de haut niveau et faible débit de données, aucune traitement supplémentairedu signal dehors du capteur est nécessaire, ce qui le rend particulièrement attrayant pour desapplications dan les petits satellitaires
This thesis focuses on the development of a CMOS monolithic pixel sensor used for space ionizingparticles identification and counting in high flux. A new concept for single particle identification isproposed in this study, which is based on the analysis of particle triggered clusters. To validate thisnew concept, a full size sensor including the sensitive pixel matrix, an analogue signal processingchain, a 3-bit analogue to digital converter, and a digital processing stage was designed in a 0.35μm process. The sensor directly output particles flux information through 4 channels with a verylow data rate (80 bps) and minimal power dissipation (~ 100mW). Each channel representsparticles with different species and energies. The highest measurable flux density is up to 108particles/cm2/s (hits pile up < 5%). A reduced scale prototype was fabricated and tested with 3types of radiation illumination (X-ray, electrons and infrared laser). All the results obtained validatethe proposed new concept and a highly miniaturized space radiation monitor based on a singleCMOS pixel sensor could be foreseen. The monitor could provide measurements of comparable orbetter quality than existing instruments, but at around an order of magnitude lower powerconsumption, mass and volume and a lower unit cost. Moreover, due to its high level and low datarate outputs, no signal treatment power aside the sensor is required which makes it especiallyattractive for small satellite application
APA, Harvard, Vancouver, ISO, and other styles
44

Pristach, Marián. "Návrh optimalizovaných architektur digitálních filtrů pro nízkopříkonové integrované obvody." Doctoral thesis, Vysoké učení technické v Brně. Fakulta elektrotechniky a komunikačních technologií, 2015. http://www.nusl.cz/ntk/nusl-234534.

Full text
Abstract:
The doctoral thesis deals with development and design of novel architectures of digital filters for low-power integrated circuits. The main goal was to achieve optimum parameters of digital filters with respect to the chip area, power consumption and operating frequency. The target group of the proposed architectures are application specific integrated circuits designed for signal processing from sensors using delta-sigma modulators. Three novel architectures of digital filters optimized for low-power integrated circuits are presented in the thesis. The thesis provides analysis and comparison of parameters of the new filter architectures with the parameters of architectures generated by Matlab tool. A software tool has been designed and developed for the practical application of the proposed architectures of digital filters. The developed software tool allows generating hardware description of the filters with respect to defined parameters.
APA, Harvard, Vancouver, ISO, and other styles
45

Gunawardena, Sanjeev. "Feasibility study for the implementation of global positioning system block processing techniques in field programmable gate arrays." Ohio University / OhioLINK, 2000. http://rave.ohiolink.edu/etdc/view?acc_num=ohiou1171990779.

Full text
APA, Harvard, Vancouver, ISO, and other styles
46

Tinguy, Pierre. "Etude et développement d'un oscillateur à quartz intégré." Phd thesis, Université de Franche-Comté, 2011. http://tel.archives-ouvertes.fr/tel-00675277.

Full text
Abstract:
Le besoin croissant de réduction du volume, de la masse et de la consommation des dispositifs électroniques sans pertes deperformances concerne aussi les oscillateurs à quartz utilisés dans les applications métrologiques (bases de temps, capteurs),la téléphonie, la navigation... Dans le cadre de cette problématique, nous avons développé un ASIC (Application SpecificIntegrated Circuit) en technologie 0,35 μm SiGe BiCMOS (Austriamicrosystems®) fonctionnant sous 3,3 V (±10%) pourréaliser un oscillateur à quartz miniature opérationnel sur une gamme en fréquence allant de 10 MHz à 100 MHz. Ce circuitdont la surface ne dépasse pas les 4 mm2 est composé de diverses cellules RF, depuis le système d'entretien de type Colpitts,la mise en forme et jusqu'à l'adaptation du signal à sa charge d'utilisation (50 W ou HCMOS). Ces cellules sont toutespolarisées par une référence de tension interne de type bandgap CMOS. La consommation totale du circuit en charge resteinférieure à 100 mW pour un bruit blanc de phase visé de −150 dBc/Hz à 40 MHz. Pour minimiser la sensibilité thermiquedu résonateur et ainsi pouvoir s'orienter également vers des applications OCXO (Oven Controlled Crystal Oscillator),nous avons partiellement intégré une régulation de température dans notre ASIC. Cette régulation fortement dépendante del'architecture thermo-mécanique a été dimensionnée puis validée au travers de modélisations par analogie sous Spectre®.Notre électronique intégrée nécessite peu de composants externes et nous l'avons reportée par flip chip sur une interfacespécifique pour
APA, Harvard, Vancouver, ISO, and other styles
47

Petura, Oto. "True random number generators for cryptography : Design, securing and evaluation." Thesis, Lyon, 2019. http://www.theses.fr/2019LYSES053.

Full text
Abstract:
Les nombres aléatoires sont essentiels pour les systèmes cryptographiques modernes. Ils servent de clés cryptographiques, de nonces, de vecteurs d’initialisation et de masques aléatoires pour la protection contre les attaques par canaux cachés. Dans cette thèse, nous traitons des générateurs de nombres aléatoires dans les circuits logiques (FPGA et ASIC). Nous présentons les méthodes fondamentales de génération de nombres aléatoires dans des circuits logiques. Ensuite, nous discutons de différents types de TRNG en utilisant le jitter d’horloge comme source d’aléa. Nous faisons une évaluation rigoureuse de divers noyaux TRNG conformes à la norme AIS-20/31 et mis en œuvre dans trois familles de FPGA différentes: Intel Cyclone V, Xilinx Spartan-6 et Microsemi SmartFusion2. Puis, nous présentons l’implémentation des noyaux TRNG sélectionnés dans des ASIC et leur évaluation. Ensuite, nous étudions en profondeur PLL-TRNG afin de fournir une conception sécurisée de ce TRNG ainsi que des tests intégrés. Enfin, nous étudions les TRNG basés sur les oscillateurs. Nous comparons de différentes méthodes d'extraction d’aléa ainsi que de différents types d'oscillateurs et le comportement du jitter d'horloge à l'intérieur de chacun d'eux. Nous proposons également des méthodes de mesure du jitter intégrée pour le test en ligne des TRNG basés sur les oscillateurs
Random numbers are essential for modern cryptographic systems. They are used as cryptographic keys, nonces, initialization vectors and random masks for protection against side channel attacks. In this thesis, we deal with random number generators in logic devices (Field Programmable Gate Arrays – FPGAs and Application Specific Integrated Circuits – ASICs). We present fundamental methods of generation of random numbers in logic devices. Then, we discuss different types of TRNGs using clock jitter as a source of randomness. We provide a rigorous evaluation of various AIS-20/31 compliant TRNG cores implemented in three different FPGA families : Intel Cyclone V, Xilinx Spartan-6 and Microsemi SmartFusion2. We then present the implementation of selected TRNG cores in custom ASIC and we evaluate them. Next, we study PLL-TRNG in depth in order to provide a secure design of this TRNG together with embedded tests. Finally, we study oscillator based TRNGs. We compare different randomness extraction methods as well as different oscillator types and the behavior of the clock jitter inside each of them. We also propose methods of embedded jitter measurement for online testing of oscillator based TRNGs
APA, Harvard, Vancouver, ISO, and other styles
48

Lamprou, Efthymios. "Development and Performance Evaluation of High Resolution TOF-PET Detectors Suitable for Novel PET Scanners." Doctoral thesis, Universitat Politècnica de València, 2021. http://hdl.handle.net/10251/162991.

Full text
Abstract:
[ES] La Tomografía por Emisión de Positrones (PET) es una de las técnicas más importantes en la medicina de diagnóstico actual y la más representativa en el campo de la Imagen Molecular. Esta modalidad de imagen es capaz de producir información funcional única, que permite la visualización en detalle, cuantificación y conocimiento de una variedad de enfermedades y patologías. Áreas como la oncología, neurología o la cardiología, entre otras, se han beneficiado en gran medida de esta técnica. A pesar de que un elevado número de avances han ocurrido durante el desarrollo del PET, existen otros que son de gran interés para futuras investigaciones. Uno de los principales pilares actualmente en PET, tanto en investigación como en desarrollo, es la obtención de la información del tiempo de vuelo (TOF) de los rayos gamma detectados. Cuando esto ocurre, aumenta la sensibilidad efectiva del PET, mejorando la calidad señal-ruido de las imágenes. Sin embargo, la obtención precisa de la marca temporal de los rayos gamma es un reto que requiere, además de técnicas y métodos específicos, compromisos entre coste y rendimiento. Una de las características que siempre se ve afectada es la resolución espacial. Como discutiremos, la resolución espacial está directamente relacionada con el tipo de centellador y, por lo tanto, con el coste del sistema y su complejidad. En esta tesis, motivada por los conocidos beneficios en imagen clínica de una medida precisa del tiempo y de la posición de los rayos gamma, proponemos configuraciones de detectores TOF- PET novedosos capaces de proveer de ambas características. Sugerimos el uso de lo que se conoce como métodos de "light-sharing", tanto basado en cristales monolíticos como pixelados de tamaño diferente al del fotosensor. Estas propuestas hacen que la resolución espacial sea muy alta. Sin embargo, sus capacidades temporales han sido muy poco abordadas hasta ahora. En esta tesis, a través de varios artículos revisados, pretendemos mostrar los retos encontrados en esta dirección, proponer determinadas configuraciones y, además, indagar en los límites temporales de éstas. Hemos puesto un gran énfasis en estudiar y analizar las distribuciones de la luz centellante, así como su impacto en la determinación temporal. Hasta nuestro conocimiento, este es el primer trabajo en el que se estudia la relación de la determinación temporal y la distribución de luz de centelleo, en particular usando SiPM analógicos y ASICs. Esperamos que esta tesis motive y permita otros muchos trabajos orientados en nuevos diseños, útiles para instrumentación PET, así como referencia para otros trabajos. Esta tesis esta organizada como se describe a continuación. Hay una introducción compuesta por tres capítulos donde se resumen los conocimientos sobre imagen PET, y especialmente aquellos relacionados con la técnica TOF-PET. Algunos trabajos recientes, pero aún no publicados se muestran también, con el objetivo de corroborar ciertas ideas. En la segunda parte se incluyen las cuatro contribuciones que el candidato sugiere para el compendio de artículos.
[CA] La Tomografia per Emissió de Positrons (PET) és una de les tècniques més importants en la medicina de diagnòstic actual i la més representativa en el camp de la Imatge Molecular. Esta modalitat d'imatge és capaç de produir informació funcional única, que permet la visualització en detall, quantificació i coneixement d'una varietat de malalties i patologies. Àrees com l'oncologia, neurologia o la cardiologia, entre altres, s'han beneficiat en gran manera d'aquesta tècnica. Tot i que un elevat nombre d'avanços han ocorregut durant el desenvolupament del PET, hi ha altres que són de gran interés per a futures investigacions. Un dels principals pilars actuals en PET, tant en investigació com en desenvolupament, és l'obtenció de la informació del temps de vol (TOF en anglès) dels raigs gamma detectats. Quan açò ocorre, augmenta la sensibilitat efectiva del PET, millorant la qualitat senyal-soroll de les imatges. No obstant això, l'obtenció precisa de la marca temporal dels raigs gamma és un repte que requerix, a més de tècniques i mètodes específics, compromisos entre cost i rendiment. Una de les característiques que sempre es veu afectada és la resolució espacial. Com discutirem, la resolució espacial està directament relacionada amb el tipus de centellador, i per tant, amb el cost del sistema i la seua complexitat. En aquesta tesi, motivada pels coneguts beneficis en imatge clínica d'una mesura precisa del temps i de la posició dels raigs gamma, proposem nouves configuracions de detectors TOF-PET capaços de proveir d'ambduess característiques. Suggerim l'ús del que es coneix com a mètodes de "light-sharing", tant basat en cristalls monolítics com pixelats de diferent tamany del fotosensor. Aquestes propostes fan que la resolució espacial siga molt alta. No obstant això, les seues capacitats temporals han sigut molt poc abordades fins ara. En aquesta tesi, a través de diversos articles revisats, pretenem mostrar els reptes trobats en aquesta direcció, proposar determinades configuracions i, a més, indagar en els límits temporals d'aquestes. Hem posat un gran èmfasi a estudiar i analitzar les distribucions de la llum centellejant, així com el seu impacte en la determinació temporal. Fins al nostre coneixement, aquest és el primer treball en què s'estudia la relació de la determinació temporal i la distribució de llum de centelleig, en particular utilitzant SiPM analògics i ASICs. Esperem que aquesta tesi motive i permeta molts altres treballs orientats en nous dissenys, útils per a instrumentació PET, així com referència per a altres treballs. Aquesta tesi esta organitzada com es descriu a continuació. Hi ha una introducció composta per tres capítols on es resumeixen els coneixements sobre imatge PET i, especialmente, aquells relacionats amb la tècnica TOF-PET. Alguns treballs recents, però encara no publicats es mostren també, amb l'objectiu de corroborar certes idees. La segona part de la tesi conté els quatre articles revisats que el candidat suggereix.
[EN] Positron Emission Tomography (PET) is one of the greatest tools of modern diagnostic medicine and the most representative in the field of molecular imaging. This imaging modality, is capable of providing a unique type of functional information which permits a deep visualization, quantification and understanding of a variety of diseases and pathologies. Areas like oncology, neurology, or cardiology, among others, have been well benefited by this technique. Although numerous important advances have already been achieved in PET, some other individual aspects still seem to have a great potential for further investigation. One of the main trends in modern PET research and development, is based in the extrapolation of the Time- Of-Flight (TOF) information from the gamma-ray detectors. In such case, an increase in the effective sensitivity of PET is accomplished, resulting in an improved image signal-to-noise ratio. However, the direction towards a precise decoding of the photons time arrival is a challenging task that requires, besides specific approaches and techniques, tradeoffs between cost and performance. A performance characteristic very habitually compromised in TOF-PET detector configurations is the spatial resolution. As it will be discussed, this feature is directly related to the scintillation materials and types, and consequently, with system cost and complexity. In this thesis, motivated by the well-known benefits in clinical imaging of a precise time and spatial resolution, we propose novel TOF-PET detector configurations capable of inferring both characteristics. Our suggestions are based in light sharing approaches, either using monolithic detectors or crystal arrays with different pixel-to-photosensor sizes. These approaches, make it possible to reach a precise impact position determination. However, their TOF capabilities have not yet been explored in depth. In the present thesis, through a series of peer-reviewed publications we attempt to demonstrate the challenges encountered in these kinds of configurations, propose specific approaches improving their performance and eventually reveal their limits in terms of timing. High emphasis is given in analyzing and studying the scintillation light distributions and their impact to the timing determination. To the best of our knowledge, this is one of the first works in which such detailed study of the relation between light distribution and timing capabilities is carried out, especially when using analog SiPMs and ASICs. Hopefully, this thesis will motivate and enable many other novel design concepts, useful in PET instrumentation as well as it will serve as a helpful reference for similar attempts. The present PhD thesis is organized as follows. There is an introduction part composed by three detailed sections. We attempt to summarize here some of the knowledge related to PET imaging and especially with the technique of TOF-PET. Some very recent but still unpublished results are also presented and included in this part, aiming to support statements and theories. The second part of this thesis lists the four peer-reviewed papers that the candidate is including.
This project has received funding from the European Research Council (ERC) under the European Union’s Horizon 2020 research and innovation program (grant agreement No 695536). It has also been supported by the Spanish Ministerio de Economía, Industria y Competitividad under Grants No. FIS2014-62341-EXP and TEC2016-79884-C2-1-R. Efthymios Lamprou has also been supported by Generalitat Valenciana under grant agreement GRISOLIAP-2018-026.
Lamprou, E. (2021). Development and Performance Evaluation of High Resolution TOF-PET Detectors Suitable for Novel PET Scanners [Tesis doctoral]. Universitat Politècnica de València. https://doi.org/10.4995/Thesis/10251/162991
TESIS
APA, Harvard, Vancouver, ISO, and other styles
49

Arpin, Louis. "Conception et intégration d'une architecture numérique pour l'ASIC LabPET[indice supérieur TM] II, un circuit de lecture d'une matrice de détection TEP de 64 pixels." Mémoire, Université de Sherbrooke, 2012. http://hdl.handle.net/11143/6148.

Full text
Abstract:
Des développements technologiques récents concernant les photodiodes à effet avalanche (PDA) ont mené à la conception et la fabrication d'un tout nouveau module de détection de radiation TEP (tomographie d'émission par positrons) destiné à l'imagerie moléculaire préclinique. Il est basé sur une matrice de 8 par 8 scintillateurs LYSO (ortho-silicate de lutétium dopé au cérium, cerium-doped lutetium yttrium orthosilicate ) individuellement couplés aux pixels de deux matrices monolithiques de 4 par 8 PDA. Cette avancée, pouvant amener la résolution spatiale d'un scanner à passer sous la barrière du mm, exige la conception d'un tout nouveau système d'acquisition de données. En effet, il faut adapter le système de lecture individuelle de chacun des pixels du bloc de détection de façon à satisfaire la multiplication par ~8, relativement à une version antérieure (le LabPET[indice supérieur TM] I), de la densité de pixels du futur scanner LabPET[indice supérieur TM] II. Conséquemment, le traitement de signal numérique ne peut être exclusivement embarqué dans les matrices de portes logiques programmable (field-programmable gate array , FPGA) du système d'acquisition, en considérant les aspects monétaires, d'espace occupé et de puissance consommée de l'ensemble du projet LabPET[indice supérieur TM] II. De façon à s'adapter à cette nouvelle réalité, un nouveau circuit intégré à application spécifique (application specific integrated circuit, ASIC) à signaux mixtes avec 64 canaux d'acquisition, fabriqué avec la technologie TSMC CMOS 0,18 [micromètre], a été conçu. L'ASIC utilise la méthode de temps au-dessus d'un seuil (time over threshold , ToT), déjà implantée dans des applications de physique des hautes-énergies, de manière à extraire numériquement l'information relative à un rayonnement interagissant avec la matrice de détection (l'énergie, le temps et le numéro de pixel de l'événement). Dans le cadre de ce projet, une architecture complexe de machines à états-finis, cadencée par une horloge de 100 MHz, a été implantée et elle permet à l'ASIC d'identifier le taux anticipé de 3 000 événements par seconde par canal. Ceci est réalisé en calculant en temps réel le paramètre ToT tout en assurant la calibration adéquate de chacune des chaînes d'acquisition. Le circuit intégré peut caractériser jusqu'à 2 Mévénements/s malgré son unique lien différentiel à bas voltage (low-voltage differential signaling, LVDS) de transfert de données et consomme environ 600 mW. L'ASIC a été développé en suivant un processus de conception de circuits intégrés à signaux mixtes. Il permet notamment de minimiser et de vérifier l'impact des indésirables effets parasites sur la circuiterie analogique et numérique de l'ensemble avant que les dessins de masques ne soient envoyés vers la fonderie pour fabriquer le circuit désiré.
APA, Harvard, Vancouver, ISO, and other styles
50

Noury, Ludovic. "Contribution à la conception de processeurs d'analyse de signaux à large bande dans le domaine temps-fréquence : l'architecture F-TFR." Paris 6, 2008. http://www.theses.fr/2008PA066206.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography