Academic literature on the topic 'Application-specific integrated circuits'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Application-specific integrated circuits.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Application-specific integrated circuits"

1

Mijuskovic, Dejan. "Clock distribution in application specific integrated circuits." Microelectronics Journal 18, no. 4 (July 1987): 15–27. http://dx.doi.org/10.1016/s0026-2692(87)80370-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

J A, Akshay. "Design and VLSI implementation of SRAM memory array using Application-specific Integrated circuits design flow." International Journal for Research in Applied Science and Engineering Technology 11, no. 5 (May 31, 2023): 4047–58. http://dx.doi.org/10.22214/ijraset.2023.52570.

Full text
Abstract:
Abstract Static Random-access memory (SRAM) are useful structure blocks in operations like data storage, embedded operations, cache recollections, microprocessors. The circuits should retain larger impunity to noise voltages. So, the Stationary Noise Margin (SNM) of the circuits should be veritably high. Large SRAM arrays that are extensively used as cache memory in microprocessors and operation-specific integrated circuits can absorb a big portion of the chip area. Highly compact circuits like SRAM arrays are estimated to cover relatively 90% of the System on chip area within the coming years. To optimize the performance of similar chips, large arrays of fast SRAM help to speed up the system performance. As a result, numerous minimal-size SRAM cells are tightly packed making SRAM arrays the compact circuitry on a chip. In this work an attempt is made to design a 8 X 8 SRAM memory array along with different components like Write driver circuit, Pre-charge circuit, Row and Column Decoder. Different SRAM architectures such as 6T, 7T and 8T are designed and different parameters such as Static Noise Margin and power dissipated are measured and the best performing memory design has been selected. 8T design has been resulted with least power dissipation. Hence this cell is selected for designing the memory array. A schematic of 8 x 8 array is designed and the layout of single SRAM 8T is created and to complete the ASIC design flow, DRC is done and the pre and post simulation are compared and verified. The integrated SRAM is operated with an input voltage of 0 to 1.8V.
APA, Harvard, Vancouver, ISO, and other styles
3

Jiménez Flores, Armando, Maximino Peña Guerrero, and Jose J. Negrete Redondo. "Application‐specific integrated circuits based on reconfigurable logic." Journal of the Acoustical Society of America 128, no. 4 (October 2010): 2381. http://dx.doi.org/10.1121/1.3508470.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Davison, Chris. "The test needs of application-specific integrated circuits." Quality and Reliability Engineering International 2, no. 3 (July 1986): 159–64. http://dx.doi.org/10.1002/qre.4680020305.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Schmidt, Alexander, Holger Kappert, Wolfgang Heiermann, and Rainer Kokozinski. "A Cyclic RSD Analog-Digital-Converter for Application Specific High Temperature Integrated Circuits up to 250°C." Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, HITEC (January 1, 2012): 000214–19. http://dx.doi.org/10.4071/hitec-2012-wp13.

Full text
Abstract:
Silicon-on-Insulator (SOI) CMOS is the most commonly used technology for integrated circuits suitable for high temperatures and harsh environmental conditions. Data acquisition circuitry operating at these conditions has to consider the impact of wide temperature range operation. Therefore, the accurate operation of elementary building blocks is essential for proper system performance. To overcome the accuracy limitations set by channel leakage and performance degradation of NMOS and PMOS transistors, advanced circuit design methods are necessary. By introducing advanced leakage compensation, the overall performance of analog circuits at elevated temperatures is significantly improved. In this paper we present a cyclic analog-to-digital converter with a resolution of 12 bit, fabricated in a 1.0 μm SOI CMOS process. It utilizes the redundant signed digit (RSD) principle in a switched capacitor circuit and is thus insensitive to amplifier or comparator offset. In order to reduce the conversion error, leakage current compensated switches have been used. The ADC features two high gain operational amplifiers. Thereby a gain of more than 110 dB over the whole temperature range has been realized. The ADC's performance has been verified up to 250°C with an input voltage range from 0 V to 5 V. Preliminary results report an accuracy of more than 10 bits with a conversion rate of 1.25 kS/s. The supply voltage is 5 V with a maximum power consumption of 3.4 mW for the analog part of the circuit. The ADC is intended as an IP module to be used in customer specific mixed signal integrated circuits.
APA, Harvard, Vancouver, ISO, and other styles
6

York, Trevor A. "Book Review: An Introduction to Application Specific Integrated Circuits." International Journal of Electrical Engineering & Education 29, no. 3 (July 1992): 276. http://dx.doi.org/10.1177/002072099202900314.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Tonge, J. D. "The Design Process for Application Specific Integrated Circuits (ASICS)." Microelectronics International 6, no. 1 (January 1989): 5–7. http://dx.doi.org/10.1108/eb044349.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Penn, M. G. "Impact and opportunities for application specific integrated circuits (ASICs)." IEE Proceedings E Computers and Digital Techniques 132, no. 2 (1985): 130. http://dx.doi.org/10.1049/ip-e.1985.0019.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Penn, M. G. "Impact and opportunities for application specific integrated circuits (ASICs)." IEE Proceedings I Solid State and Electron Devices 132, no. 2 (1985): 130. http://dx.doi.org/10.1049/ip-i-1.1985.0026.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

He, Qi. "Advanced Integrated Circuit Manufacturing Technology Process Industry Development." Highlights in Science, Engineering and Technology 71 (November 28, 2023): 402–7. http://dx.doi.org/10.54097/hset.v71i.14606.

Full text
Abstract:
Integrated circuits are circuits with specific functions that are integrated together by semiconductor processes and are a new type of semiconductor device developed in the late 1950s through the 1960s. Integrated circuits are the foundation of information development and the benchmark for high quality development of national economy. This paper introduces the concept of integrated circuits as well as the integrated circuit process, and the application areas of integrated circuits, which account for an expanding and growing share of information, communication, consumer electronics, automotive electronics, medical electronics and other consumer areas. It discusses China's future IC process development path by combining the IC production process and design process, industrial development, design process and preparation limitations, and proposes relevant suggestions and strategies by speculating on the future development of the process.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Application-specific integrated circuits"

1

Zahir, Achmed Rumi. "Controller synthesis for application specific integrated circuits /." Zürich, 1991. http://e-collection.ethbib.ethz.ch/show?type=diss&nr=9530.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kalab, Peter Carleton University Dissertation Engineering Electrical. "Automated microcontroller synthesis for application-specific integrated circuits." Ottawa, 1986.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Wang, Jun. "Physical design with fabrication : friendly layout /." View the Table of Contents & Abstract, 2004. http://sunzi.lib.hku.hk/hkuto/record/B30575643.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Cronquist, Darren C. "Reconfigurable pipelined datapaths /." Thesis, Connect to this title online; UW restricted, 1999. http://hdl.handle.net/1773/6988.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Ranganathan, Lavakumar. "Sensor-array chip hybrid for simultaneous multiple analyte detection /." Full text open access at:, 2007. http://content.ohsu.edu/u?/etd,260.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Salah, Ben Romdhane Mohamed. "Design synthesis of application-specification ICs for DSP." Diss., Georgia Institute of Technology, 1995. http://hdl.handle.net/1853/15392.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Yu, Haiming. "Analog ASICs for a Depth of Interaction (DOI) Positron Emission Tomography (PET) dectector module /." Thesis, Connect to this title online; UW restricted, 1999. http://hdl.handle.net/1773/6066.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Hoffman, Joseph A. "VHDL modeling of ASIC power dissipation." Master's thesis, This resource online, 1994. http://scholar.lib.vt.edu/theses/available/etd-10222009-124831/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Staunstrup, Jørgen. "A formal approach to hardware design /." Boston [u.a.] : Kluwer Acad. Publ, 1994. http://www.loc.gov/catdir/enhancements/fy0820/93043582-d.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Wang, Jun, and 王雋. "Physical design with fabrication: friendly layout." Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2004. http://hub.hku.hk/bib/B45015119.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Application-specific integrated circuits"

1

E, Swartzlander Earl, ed. Application specific processors. Boston: Kluwer Academic, 1997.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

G, Einspruch Norman, and Hilbert Jeffrey L, eds. Application specific integrated circuit (ASIC) technology. London: Academic Press Inc., 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

Zahir, Rumi. Controller synthesis for application specific integrated circuits. Konztanz, Germany: Hartung-Gorre, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
4

Swartzlander, Earl E. Application Specific Processors. Boston, MA: Springer US, 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
5

Corporation, Integrated Circuit Engineering, ed. ASIC outlook, 1998: An application specific IC report and directory. Scottsdale, AZ (17350 N. Hartford Dr., Scottsdale 85255): Integrated Circuit Engineering Corporation, 1998.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
6

Institution of Electrical Engineers. Professional Group E1. and Institution of Electrical Engineers. Electronics Division., eds. Colloquium on "Application specific integrated circuits for measurement systems". London: Institution of Electrical Engineers, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
7

Mideuropean, Custom/Application Specific Integrated Circuits Conference (3rd 1991 Sopron Hungary). Proceedings of the Third Mideuropean Custom/Application Specific Integrated Circuits Conference. Oxford, England: Elsevier Advanced Technology, 1991.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
8

IEEE, International ASIC Conference and Exhibit (9th 1996 Rochester N. Y. ). Proceedings: Ninth Annual IEEE International ASIC Conference and Exhibit. [New York, N.Y.]: Institute of Electrical and Electronics Engineers, 1996.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
9

IEEE, International ASIC Conference and Exhibit (6th 1993 Rochester N. Y. ). Proceedings, Sixth Annual IEEE International ASIC Conference and Exhibit: [September 27 to October 1, 1993, Rochester Riverside Convention Center, Rochester, New York]. [New York, NY]: Institute of Electrical and Electronics Engineers, 1993.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
10

IEEE, International ASIC Conference and Exhibit (7th 1994 Rochester N. Y. ). Proceedings, Seventh Annual IEEE International ASIC Conference and Exhibit: [September 19-23, 1994, Rochester Riverside Convention Center, Rochester, New York]. Piscataway, NJ: Institute of Electrical and Electronics Engineers, 1994.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Application-specific integrated circuits"

1

Lutz, G. "Application Specific Integrated Circuits." In XXIV International Conference on High Energy Physics, 1249–55. Berlin, Heidelberg: Springer Berlin Heidelberg, 1989. http://dx.doi.org/10.1007/978-3-642-74136-4_147.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Karmani, Rajesh K., Gul Agha, Mark S. Squillante, Joel Seiferas, Marian Brezina, Jonathan Hu, Ray Tuminaro, et al. "Application-Specific Integrated Circuits." In Encyclopedia of Parallel Computing, 71. Boston, MA: Springer US, 2011. http://dx.doi.org/10.1007/978-0-387-09766-4_2205.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Rieger, Martin. "Application Specific Integrated Circuits (ASICs)." In The Electronic Design Automation Handbook, 384–97. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-0-387-73543-6_16.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Moritz, Csaba Andras, Pritish Narayanan, and Chi On Chui. "Nanoscale Application-Specific Integrated Circuits." In Nanoelectronic Circuit Design, 215–75. New York, NY: Springer New York, 2010. http://dx.doi.org/10.1007/978-1-4419-7609-3_7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Yan, Shan, and Bill Lin. "Design of Application-Specific 3D Networks-on-Chip Architectures." In Integrated Circuits and Systems, 167–91. New York, NY: Springer New York, 2010. http://dx.doi.org/10.1007/978-1-4419-7618-5_8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Singh, Dilip, and Rajeevan Chandel. "Register-Transfer-Level Design for Application-Specific Integrated Circuits." In Energy Systems in Electrical Engineering, 295–319. Singapore: Springer Singapore, 2020. http://dx.doi.org/10.1007/978-981-15-7937-0_15.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Kassanos, P., H. Ip, and Guang-Zhong Yang. "Ultra-Low Power Application-Specific Integrated Circuits for Sensing." In Implantable Sensors and Systems, 281–437. Cham: Springer International Publishing, 2018. http://dx.doi.org/10.1007/978-3-319-69748-2_5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Siu, Chris, Conny Hansson, and Krzysztof Iniewski. "Application Specific Integrated Circuits (ASICs) for Spectral Photon Counting." In Spectral, Photon Counting Computed Tomography, 251–78. First edition. | Boca Raton : CRC Press, 2020. | Series: Devices, circuits, & systems: CRC Press, 2020. http://dx.doi.org/10.1201/9780429486111-14.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kornilov, A. I., and A. L. Stempkovsky. "Methodology Aspects of Logical Structure Synthesis of Application Specific Integrated Circuits." In Advanced Information Processing, 279–87. Berlin, Heidelberg: Springer Berlin Heidelberg, 1990. http://dx.doi.org/10.1007/978-3-642-93464-3_23.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Mele, Filippo. "Application Specific Integrated Circuits for High Resolution X and Gamma Ray Semiconductor Detectors." In Special Topics in Information Technology, 31–42. Cham: Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-030-85918-3_3.

Full text
Abstract:
AbstractThe increasing demand for performance improvements in radiation detectors, driven by cutting-edge research in nuclear physics, astrophysics and medical imaging, is causing not only a proliferation in the variety of the radiation sensors, but also a growing necessity of tailored solutions for the front-end readout electronics. Within this work, novel solutions for application specific integrated circuits (ASICs) adopted in high-resolution X and $$\upgamma $$ γ ray spectroscopy applications are studied. In the first part of this work, an ultra-low noise charge sensitive amplifier (CSA) is presented, with specific focus on sub-microsecond filtering, addressing the growing interest in high-luminosity experiments. The CSA demonstrated excellent results with Silicon Drift Detectors (SDDs), and with room temperature Cadmium-Telluride (CdTe) detectors, recording a state-of-the-art noise performance. The integration of the CSA within two full-custom radiation detection instruments realized for the ELETTRA (Trieste, Italy) and SESAME (Allan, Jordan) synchrotrons is also presented. In the second part of this work, an ASIC constellation designed for X-Gamma imaging spectrometer (XGIS) onboard of the THESEUS space mission is described. The presented readout ASIC has a highly customized distributed architecture, and integrates a complete on-chip signal filtering, acquisition and digitization with an ultra-low power consumption.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Application-specific integrated circuits"

1

Narayanan, Pritish, Jorge Kina, Pavan Panchapakeshan, Priyamvada Vijayakumar, Kyeong-Sik Shin, Mostafizur Rahman, Michael Leuchtenburg, Israel Koren, Chi On Chui, and Csaba Andras Moritz. "Nanoscale Application Specific Integrated Circuits." In 2011 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH). IEEE, 2011. http://dx.doi.org/10.1109/nanoarch.2011.5941490.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Stopmski, S., K. Lawniczuk, K. Welikow, A. Jusza, P. Gdula, P. Szczepariski, X. J. M. Leijtens, M. K. Smii, and R. Piramidawicz. "Application specific photonic integrated circuits for telecommunications." In 2013 Conference on Lasers & Electro-Optics Europe & International Quantum Electronics Conference CLEO EUROPE/IQEC. IEEE, 2013. http://dx.doi.org/10.1109/cleoe-iqec.2013.6801254.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

VOGAS, JAMES. "Sneak Analysis of application specific integrated circuits." In Aerospace Design Conference. Reston, Virigina: American Institute of Aeronautics and Astronautics, 1992. http://dx.doi.org/10.2514/6.1992-976.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

"Proceedings of Eighth International Application Specific Integrated Circuits Conference." In Proceedings of Eighth International Application Specific Integrated Circuits Conference. IEEE, 1995. http://dx.doi.org/10.1109/asic.1995.580668.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Brodersen, R. W. "The technological requirements for application specific integrated circuits." In 1985 International Electron Devices Meeting. IRE, 1985. http://dx.doi.org/10.1109/iedm.1985.190878.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Smart, Donald V., and Donald M. Stewart. "Laser Processing For Application Specific Integrated Circuits (ASICs)." In Microlithography Conference, edited by John S. Batchelder, Daniel J. Ehrlich, and Jeff Y. Tsao. SPIE, 1987. http://dx.doi.org/10.1117/12.940392.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Margulis, Arie, and Dimitry Akselrod. "Multi-TAP connection architectures for application specific integrated circuits." In 2008 Canadian Conference on Electrical and Computer Engineering - CCECE. IEEE, 2008. http://dx.doi.org/10.1109/ccece.2008.4564819.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Morris, Daniel, Vyacheslav Rovner, Larry Pileggi, Andrzej Strojwas, and Kaushik Vaidyanathan. "Enabling application-specific integrated circuits on limited pattern constructs." In 2010 IEEE Symposium on VLSI Technology. IEEE, 2010. http://dx.doi.org/10.1109/vlsit.2010.5556202.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Pozo, J., P. Harmsma, and D. M. R. Lo Cascio. "Application specific photonic integrated circuits and the sensing industry." In 2013 15th International Conference on Transparent Optical Networks (ICTON). IEEE, 2013. http://dx.doi.org/10.1109/icton.2013.6602755.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Velmyl, Pannerselvam. "Developing Application Specific Integrated Circuits(ASIC) for cloud computing." In 2011 International Conference on Electronics, Communication and Computing Technologies (ICECCT). IEEE, 2011. http://dx.doi.org/10.1109/icecct.2011.6077067.

Full text
APA, Harvard, Vancouver, ISO, and other styles

Reports on the topic "Application-specific integrated circuits"

1

O`Sullivan, G. A., and J. A. O`Sullivan. Low-cost photovoltaic inverters incorporating application-specific integrated circuits. Office of Scientific and Technical Information (OSTI), October 1993. http://dx.doi.org/10.2172/10104944.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Flory, John Andrew, Denise D. Padilla, John H. Gauthier, April Marie Zwerneman, and Steven P. Miller. Operational Excellence through Schedule Optimization and Production Simulation of Application Specific Integrated Circuits. Office of Scientific and Technical Information (OSTI), May 2016. http://dx.doi.org/10.2172/1259554.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Bouldin, Don. CHAMPION: A Software Design Environment for Adaptive Computing Systems and Application Specific Integrated Circuits (ASICs). Fort Belvoir, VA: Defense Technical Information Center, July 2001. http://dx.doi.org/10.21236/ada397938.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Nuckolls, L. CMOS ASIC (application specific integrated circuit). Office of Scientific and Technical Information (OSTI), July 1989. http://dx.doi.org/10.2172/5551185.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Brockman, Jay, Peter Kogge, Michael Niemier, and Larry Pileggi. Memory-Based Structured Application Specific Integrated Circuit (ASIC) Study. Fort Belvoir, VA: Defense Technical Information Center, October 2008. http://dx.doi.org/10.21236/ada499474.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Simpson, R. L., and B. T. Meyer. Characteristics and development report for the SA3871 Intent Controller application specific integrated circuit (ASIC). Office of Scientific and Technical Information (OSTI), August 1995. http://dx.doi.org/10.2172/110167.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography