Academic literature on the topic 'Access-ordering'

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the lists of relevant articles, books, theses, conference reports, and other scholarly sources on the topic 'Access-ordering.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Journal articles on the topic "Access-ordering"

1

Weikle, D. A. B., S. I. Hong, M. H. Salinas, R. H. Klenke, J. H. Aylor, W. A. Wulf, and S. A. McKee. "Dynamic access ordering for streamed computations." IEEE Transactions on Computers 49, no. 11 (2000): 1255–71. http://dx.doi.org/10.1109/12.895941.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kate Dorney. "The Ordering of Things: Allure, Access, and Archives." Shakespeare Bulletin 28, no. 1 (2010): 19–36. http://dx.doi.org/10.1353/shb.0.0142.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Coetzee, Andries W. "Variation as accessing ‘non-optimal’ candidates." Phonology 23, no. 3 (December 2006): 337–85. http://dx.doi.org/10.1017/s0952675706000984.

Full text
Abstract:
This paper argues that rather than just select the best candidate, EVAL imposes a harmonic rank-ordering on the full candidate set. Language users have access to this enriched information, and it shapes their performance. This paper applies this idea to variation. The claim is that language users can access the full candidate set via the rank-ordering imposed by EVAL. In variation, more than one candidate is well-formed enough to count as grammatical. Consequently, language users will access more than just the best candidate from the rank-ordering. However, the accessibility of a candidate depends on its position on the rank-ordering. The higher the position a candidate occupies, the more likely it is to be selected. In a variable process, variants that appear higher on the rank-ordering (i.e. are more well-formed) will therefore also be the more frequent variants. This model is applied to variation in the phonology of Faialense Portuguese and Ilokano.
APA, Harvard, Vancouver, ISO, and other styles
4

Walker, David W. "Morton ordering of 2D arrays for efficient access to hierarchical memory." International Journal of High Performance Computing Applications 32, no. 1 (August 15, 2017): 189–203. http://dx.doi.org/10.1177/1094342017725568.

Full text
Abstract:
This article investigates the recursive Morton ordering of two-dimensional arrays as an efficient way to access hierarchical memory across a range of heterogeneous computer platforms, ranging from manycore devices, multicore processors, clusters and distributed environments. A brief overview of previous research in this area is given, and algorithms that make use of Morton ordering are described. These are then used to investigate the efficiency of the Morton ordering approach by performance experiments on different processors. In particular, timing results are presented for matrix multiplication, Cholesky factorization and fast Fourier transform algorithms. The use of the Morton ordering approach leads naturally to algorithms that are recursive and exposes parallelism at each level of recursion. Thus, the approach advocated in this talk not only provides convenient and efficient access to hierarchical memory but also provides a basis for exploiting parallelism.
APA, Harvard, Vancouver, ISO, and other styles
5

Keul, Adam. "The fantasy of access: Neoliberal ordering of a public beach." Political Geography 48 (September 2015): 49–59. http://dx.doi.org/10.1016/j.polgeo.2015.05.005.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Monti, Joël, and Eva Blasco. "Hierarchical ordering in light-triggered additive manufacturing." Polymer Chemistry 11, no. 46 (2020): 7316–29. http://dx.doi.org/10.1039/d0py01077d.

Full text
Abstract:
Herein, recent developments in the 3D printing of materials with structural hierarchy and their future prospects are reviewed. It is shown that increasing the extent of ordering, is essential to access novel properties and functionalities.
APA, Harvard, Vancouver, ISO, and other styles
7

Ning, Hui Xin. "Mobile Ordering System Based on Android." Applied Mechanics and Materials 336-338 (July 2013): 2099–102. http://dx.doi.org/10.4028/www.scientific.net/amm.336-338.2099.

Full text
Abstract:
With the Rapid Development of Mobile Internet Technology, People Hope Access to Internet to get Information and Service Anywhere and Anytime Urgently. Catering Industry also Hopes to Expand the Scope of Business to Network. in this Paper, through the Requirement Analysis, Using the Currently Popular Android System as the Platform, the Authors Study the Mobile Ordering System Based on Android with the Help of Mobile Internet Technology. the System was Considered the Relationship between the Customers and the Sellers, had Established a Perfect Management Mode and Comprehensive Ordering Function, and Realized the Characteristic Function Based on Google Service. the System is Low Cost, Simple Operation, and Mobility. it Solves the Catering Industry’s Problems of High Cost on Manpower and Inconvenient Online Ordering.
APA, Harvard, Vancouver, ISO, and other styles
8

Pfeiler, Wolfgang. "Ordering phenomena in alloys: Access to kinetic parameters and atom-jump processes." JOM 52, no. 7 (July 2000): 14–18. http://dx.doi.org/10.1007/s11837-000-0154-5.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Kucherov, Mikhail M., and Nina A. Bogulskaya. "Trilattice-Based Access Control Models: How to Secure Current Computer Network." MATEC Web of Conferences 210 (2018): 04053. http://dx.doi.org/10.1051/matecconf/201821004053.

Full text
Abstract:
Designing security, from the hardware level, is essential to ensure the integrity of the intelligent cyber-physical infrastructure that is the Industrial Internet of Things (IIoT). If intelligent cyber-physical infrastructure fails to do the right things because it is insecure and vulnerable, then there will be negative social consequences [1]. Security is, in a sense, the access control to IIoT systems, which increasingly relies on the ability to compose different policies. Therefore, the advantage in any framework for compiling policies is that it is intuitive, formal, expressive, application-independent, as well as expandable to create domain-specific instances. Recently, such a scheme was proposed based on Belnap logic FOUR2 [2]. Four values of the Belnap bilattice have been interpreted as grant, deny, conflict, or unspecified with respect to access-control policy. Belnap’s four-valued logic has found a variety of applications in various fields, such as deductive database theory, distributed logic programming, and other areas. However, it turns out that the truth order in FOUR2 is a truth-and-falsity order at the same time [3]. The smallest lattice, where the orders of truth and falsity are independent of each other, which is especially important for security policy, is that of Shramko-Wansing’s SIXTEEN3. This generalization is well-motivated and leads from the bilattice FOUR2 with an information and a truth-and-falsity ordering to another algebraic structure, namely the trilattice SIXTEEN3 with an information ordering together with a truth ordering and a (distinct) falsity ordering.Based onSIXTEEN3 and new Boolean predicates to control access [4], we define an expressive access-control policy language, having composition statements based on the statements of Schramko-Wansing’s logic. Natural orderings on politics are obtained by independent lifting the orders of truth and falsity of trilattice, which results in a query language in which conflict freedom analysis can be developed. The reduction of formal verification of queries to that on predicates over access requests enables to carry out policy analysis. We evaluate our approach through examples of control access model policy.
APA, Harvard, Vancouver, ISO, and other styles
10

ENOKIDO, TOMOYA. "ROLE-BASED SERIALIZABILITY USING ROLE ORDERING SCHEDULERS." Journal of Interconnection Networks 07, no. 04 (December 2006): 437–50. http://dx.doi.org/10.1142/s021926590600179x.

Full text
Abstract:
In the role-based access control model, a role is a set of access rights. A subject doing jobs is granted roles showing the jobs in an enterprise. A transaction issued by a subject is associated with a subset of roles granted to the subject, which is named purpose. A method with a more significant purpose is performed before another method with a less significant purpose. We discuss which purpose is more significant than another purpose. We discuss two types of Role-Ordering (RO) schedulers SRO and PRO where multiple conflicting transactions are serializable in the significant order of subjects and purposes, respectively. We evaluate the RO schedulers compared with the traditional two-phase locking protocol in terms of throughput.
APA, Harvard, Vancouver, ISO, and other styles

Dissertations / Theses on the topic "Access-ordering"

1

Garcia, Steven, and steven garcia@student rmit edu au. "Search Engine Optimisation Using Past Queries." RMIT University. Computer Science and Information Technology, 2008. http://adt.lib.rmit.edu.au/adt/public/adt-VIT20080501.093229.

Full text
Abstract:
World Wide Web search engines process millions of queries per day from users all over the world. Efficient query evaluation is achieved through the use of an inverted index, where, for each word in the collection the index maintains a list of the documents in which the word occurs. Query processing may also require access to document specific statistics, such as document length; access to word statistics, such as the number of unique documents in which a word occurs; and collection specific statistics, such as the number of documents in the collection. The index maintains individual data structures for each these sources of information, and repeatedly accesses each to process a query. A by-product of a web search engine is a list of all queries entered into the engine: a query log. Analyses of query logs have shown repetition of query terms in the requests made to the search system. In this work we explore techniques that take advantage of the repetition of user queries to improve the accuracy or efficiency of text search. We introduce an index organisation scheme that favours those documents that are most frequently requested by users and show that, in combination with early termination heuristics, query processing time can be dramatically reduced without reducing the accuracy of the search results. We examine the stability of such an ordering and show that an index based on as little as 100,000 training queries can support at least 20 million requests. We show the correlation between frequently accessed documents and relevance, and attempt to exploit the demonstrated relationship to improve search effectiveness. Finally, we deconstruct the search process to show that query time redundancy can be exploited at various levels of the search process. We develop a model that illustrates the improvements that can be achieved in query processing time by caching different components of a search system. This model is then validated by simulation using a document collection and query log. Results on our test data show that a well-designed cache can reduce disk activity by more than 30%, with a cache that is one tenth the size of the collection.
APA, Harvard, Vancouver, ISO, and other styles
2

Tudu, Jaynarayan Thakurdas. "Power Issues in SoCs : Power Aware DFT Architecture and Power Estimation." Thesis, 2016. http://hdl.handle.net/2005/3003.

Full text
Abstract:
Test power, data volume, and test time have been long-standing problems for sequential scan based testing of system-on-chip (SoC) design. The modern SoCs fabricated at lower technology nodes are complex in nature, the transistor count is as large as billions of gate for some of the microprocessors. The design complexity is further projected to increase in the coming years in accordance with Moore's law. The larger gate count and integration of multiple functionalities are the causes for higher test power dissipation, test time and data volume. The dynamic power dissipation during scan testing, i.e. during scan shift, launch and response capture, are major concerns for reliable as well as cost effective testing. Excessive average power dissipation leads to a thermal problem which causes burn-out of the chip during testing. Peak power on other hand causes test failure due to power induced additional delay. The test failure has direct impact on yield. The test power problem in modern 3D stacked based IC is even a more serious issue. Estimating the worst case functional power dissipation is yet another great challenge. The worst case functional power estimation is necessary because it gives an upper bound on the functional power dissipation which can further be used to determine the safe power zone for the test. Several solutions in the past have been proposed to address these issues. In this thesis we have three major contributions: 1) Sequential scan chain reordering, and 2) JScan-an alternative Joint-scan DFT architecture to address primarily the test power issues along with test time and data volume, and 3) an integer linear programming methodology to address the power estimation problem. In order to reduce test power during shift, we have proposed a graph theoretic formulation for scan chain reordering and for optimum scan shift operation. For each formulation a set of algorithms is proposed. The experimental results on ISCAS-89 benchmark circuit show a reduction of around 25% and 15% in peak power and scan shift time respectively. In order to have a holistic DFT architecture which could solve test power, test time, and data volume problems, a new DFT architecture called Joint-scan (JScan) have been developed. In JScan we have integrated the serial and random access scan architectures in a systematic way by which the JScan could harness the respective advantages from each of the architectures. The serial scan architecture from test power, test time, and data volume problems. However, the serial scan is simple in terms of its functionality and is cost effective in terms of DFT circuitry. Whereas, the random ac-cess scan architecture is opposite to this; it is power efficient and it takes lesser time and data volume compared to serial scan. However, the random access scan occupies larger DFT area and introduces routing congestion. Therefore, we have proposed a methodology to realize the JScan architecture as an efficient alternative for standard serial and random access scan. Further, the JScan architecture is optimized and it resulted into a 2-Mode 2M-Jscan Joint-scan architecture. The proposed architectures are experimentally verified on larger benchmark circuits and compared with existing state of the art DFT architectures. The results show a reduction of 50% to 80% in test power and 30% to 50% in test time and data volume. The proposed architectures are also evaluated for routing area minimization and we obtained a saving of around 7% to 15% of chip area. Estimating the worst case functional power being a challenging problem, we have proposed a binary integer linear programming (BILP) based methodology. Two different formulations have been proposed considering the different delay models namely zero-delay and unit-delay. The proposed methodology generates a pair or input vectors which could toggle the circuit to dissipate worst power. The BILP problems are solved using CPLEX solver for ISCAS-85 combinational benchmark circuits. For some of the circuits, the proposed methodology provided the worst possible power dissipation i.e. 80 to 100% toggling in nets.
APA, Harvard, Vancouver, ISO, and other styles

Books on the topic "Access-ordering"

1

Bebchuk, Lucian A. Private ordering and the proxy access debate. Cambridge, MA: Harvard Law School, 2009.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
2

Bebchuk, Lucian A. Private ordering and the proxy access debate. Cambridge, MA: Harvard Law School, 2009.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
3

The criminal record handbook: A guide to ordering criminal records for employment screening. Tulsa, Okla: Total Information Services, 2000.

Find full text
APA, Harvard, Vancouver, ISO, and other styles

Book chapters on the topic "Access-ordering"

1

Catthoor, Francky, Koen Danckaert, Chidamber Kulkarni, Erik Brockmeyer, Per Gunnar Kjeldsberg, Tanja Van Achteren, and Thierry Omnes. "Storage Cycle Budget Distribution and Access Ordering." In Data Access and Storage Management for Embedded Programmable Processors, 133–77. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4757-4903-8_6.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Tsakou, Gianna, Helen C. Leligou, and Nikos Katevas. "A Novel Infrastructure Facilitating Access to, Charging, Ordering and Funding of Assistive Services." In Universal Access in Human-Computer Interaction. Design for All and Accessibility Practice, 462–73. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-07509-9_44.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Yuthayotin, Sutatip. "Improving Consumers’ Access to Justice in B2C E-Commerce: Private Ordering and State as Intermediary." In Access to Justice in Transnational B2C E-Commerce, 255–89. Cham: Springer International Publishing, 2014. http://dx.doi.org/10.1007/978-3-319-11131-5_8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Prasad, P. W. Chandana, M. Maria Dominic, and Ashutosh Kumar Singh. "Improved Variable Ordering for ROBDDs." In Digital Libraries: Technology and Management of Indigenous Knowledge for Global Access, 544–47. Berlin, Heidelberg: Springer Berlin Heidelberg, 2003. http://dx.doi.org/10.1007/978-3-540-24594-0_55.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Catthoor, Francky, Koen Danckaert, Chidamber Kulkarni, Erik Brockmeyer, Per Gunnar Kjeldsberg, Tanja Van Achteren, and Thierry Omnes. "System-Level Storage Estimation with Partially Fixed Execution Ordering." In Data Access and Storage Management for Embedded Programmable Processors, 79–117. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/978-1-4757-4903-8_4.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Shaffer, Gregory, and Susan K. Sell. "Transnational Legal Ordering and Access to Medicines." In Patent Law in Global Perspective, 97–126. Oxford University Press, 2014. http://dx.doi.org/10.1093/acprof:oso/9780199334278.003.0004.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Dabbagh, Raid Al. "E-Business." In Handbook of Research on E-Services in the Public Sector, 450–62. IGI Global, 2011. http://dx.doi.org/10.4018/978-1-61520-789-3.ch033.

Full text
Abstract:
This chapter outlines the important of e-business in today‘s world and how one thinks it affects decision making. In today‘s world e-business is extremely important for many reasons, some of which are due to the fact that it can speed up the whole process of ordering. This means that the lead time can be decreased because the ordering of products can be done much more quickly because the Internet is a fast running wide area network. This chapter shows how better procurement and supply chains make sales teams more effective. The ability to outsource functions such as, accounting, remote access to systems, linking management teams in different locations, being able to locate the lowest cost supplier improve customer services, improved collection of customer information for databases and more effective management of remote manufacturing sites.
APA, Harvard, Vancouver, ISO, and other styles
8

"Access and Utilization Reconsidered: Towards a Broader Understanding of the Spatial Ordering of Primary Health Care." In Primary Health Care: People, Practice, Place, 59–72. Routledge, 2016. http://dx.doi.org/10.4324/9781315602127-8.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Shahid, Arsalan, Maryam Murad, Muhammad Yasir Qadri, Nadia N. Qadri, and Jameel Ahmed. "Hardware Transactional Memories." In Innovative Research and Applications in Next-Generation High Performance Computing, 47–65. IGI Global, 2016. http://dx.doi.org/10.4018/978-1-5225-0287-6.ch003.

Full text
Abstract:
The initiation to have a concept of shared memory in processors has built an opportunity for thread level parallelism. In various applications, synchronization or ordering tools are utilized to have an access to shared data. Traditionally, multithreaded programming models usually suggest a set of low-level primitives, such as locks, to guarantee mutual exclusion. Possession of one or more locks protects access to shared data. But, due to some flaws they become a suboptimal solution. The idea of transactional memory is in research presently as an alternative to locks. Among which, one way is hardware transactional memory. Atomicity is well supported by using transactions in hardware. In this chapter, we have focused on hardware transactional memories and the work done on them so far.
APA, Harvard, Vancouver, ISO, and other styles
10

Chan, Stephen L. "End-User Directed Requirements - A Case in Medication Ordering." In Advances in End User Computing, 72–82. IGI Global, 2002. http://dx.doi.org/10.4018/978-1-930708-42-6.ch005.

Full text
Abstract:
This paper presents a physician ordering entry system in the ward (for medication prescriptions) by using scanning and image processing. Important design and operational issues that need to be considered by developers of similar end-user computer systems are presented. Then the scanning and imaging processing system (SIPS) is described. SIPS was developed for the Hong Kong Baptist Hospital (HKBH), Kowloon, Hong Kong and has been in successful operation for over three years in the hospital. The development of SIPS was based on end-user directed requirements. SIPS makes use of and integrates different information technologies, including scanning, bar code and other marks recognition, intelligent image capturing, server database access and retrieval, and network communication and printing. We observe that the end-user context has directed the design and development of the system. On the other hand, the use of SIPS led to the implementation of new operational procedures, resulting in improved quality healthcare delivery in the ward and increased productivity of the medical personel.
APA, Harvard, Vancouver, ISO, and other styles

Conference papers on the topic "Access-ordering"

1

McKee, S. A., R. H. Klenke, A. J. Schwab, W. A. Wulf, S. A. Moyer, J. H. Aylor, and C. Y. Hitchcock. "Experimental implementation of dynamic access ordering." In Proceedings of the Twenty-Seventh Annual Hawaii International Conference on System Sciences. IEEE Comput. Soc. Press, 1994. http://dx.doi.org/10.1109/hicss.1994.323142.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Yang, Guizhen, Michael Kifer, and Vinay K. Chaudhri. "Efficiently ordering subgoals with access constraints." In the twenty-fifth ACM SIGMOD-SIGACT-SIGART symposium. New York, New York, USA: ACM Press, 2006. http://dx.doi.org/10.1145/1142351.1142378.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

McKee, Sally A., Wm A. Wulf, James H. Aylor, Assaji Aluwihare, Benjamin H. Clark, Robert H. Klenke, Trevor C. Landon, et al. "Design and evaluation of dynamic access ordering hardware." In the 10th international conference. New York, New York, USA: ACM Press, 1996. http://dx.doi.org/10.1145/237578.237594.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Zhang, Chengqiang, and Sally A. McKee. "Hardware-only stream prefetching and dynamic access ordering." In the 14th international conference. New York, New York, USA: ACM Press, 2000. http://dx.doi.org/10.1145/335231.335247.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Yin, Jieming, and Antonia Zhai. "In-Network Memory Access Ordering for Heterogeneous Multicore Systems." In 2020 14th IEEE/ACM International Symposium on Networks-on-Chip (NOCS). IEEE, 2020. http://dx.doi.org/10.1109/nocs50636.2020.9241583.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Grayson, B., L. John, and C. Chase. "The effects of memory-access ordering on multiple-issue uniprocessor performance." In 1999 IEEE International Performance, Computing and Communications Conference (Cat. No.99CH36305). IEEE, 1999. http://dx.doi.org/10.1109/pccc.1999.749452.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Sharma, Kirti Kant, and Aditya Trivedi. "An Opportunistic Channel Access Scheme with Channel Ordering for Cognitive Radio Network." In 2015 Fifth International Conference on Communication Systems and Network Technologies (CSNT). IEEE, 2015. http://dx.doi.org/10.1109/csnt.2015.39.

Full text
APA, Harvard, Vancouver, ISO, and other styles
8

Jarmyr, Simon, Bjorn Ottersten, and Eduard Jorswieck. "Statistical Precoding and Detection Ordering in MIMO Multiple-Access Channels with Decision Feedback Equalization." In ICC 2011 - 2011 IEEE International Conference on Communications. IEEE, 2011. http://dx.doi.org/10.1109/icc.2011.5963271.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Branch, Denise. "Successful E‐Resource Acquisitions: Looking Beyond Selecting, Ordering, Paying, and Receiving to Discovery and Access." In Charleston Conference. Against the Grain, 2015. http://dx.doi.org/10.5703/1288284315566.

Full text
APA, Harvard, Vancouver, ISO, and other styles
10

Saha, Sajib, Murat Tahtali, Andrew Lambert, and Mark Pickering. "Effect of Projection Access Ordering in Algebraic Reconstruction Technique towards Rapidly Convergent CT Reconstruction from Simultaneous Projections." In Signal Recovery and Synthesis. Washington, D.C.: OSA, 2014. http://dx.doi.org/10.1364/srs.2014.sw1f.2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography