Dissertations / Theses on the topic '28nm'
Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles
Consult the top 50 dissertations / theses for your research on the topic '28nm.'
Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.
You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.
Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.
Biswas, Avishek Ph D. Massachusetts Institute of Technology. "Energy-efficient SRAM design in 28nm FDSOI Technology." Thesis, Massachusetts Institute of Technology, 2014. http://hdl.handle.net/1721.1/91095.
Full text48
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 75-81).
As CMOS scaling continues to sub-32nm regime, the effects of device variations become more prominent. This is very critical in SRAMs, which use very small transistor dimensions to achieve high memory density. The conventional 6T SRAM bit-cell, which provides the smallest cell-area, fails to operate at lower supply voltages (Vdd). This is due to the significant degradation of functional margins as the supply voltage is scaled down. However, Vdd scaling is crucial in reducing the energy consumption of SRAMs, which is a significant portion of the overall energy consumption in modern micro-processors. Energy savings in SRAM is particularly important for batteryoperated applications, which run from a very constrained power-budget. This thesis focuses on energy-efficient 6T SRAM design in a 28nm FDSOI technology. Significant savings in energy/access of the SRAM is achieved using two techniques: Vdd scaling and data prediction. A 200mV improvement in the minimum SRAM operating voltage (Vdd,min) is achieved by using dynamic forward body-biasing (FBB) on the NMOS devices of the bit-cell. The overhead of dynamic FBB is reduced by implementing it row-wise. Layout modifications are proposed to share the body terminals (n-wells) horizontally, along a row. Further savings in energy/access is achieved by incoporating data-prediction in the 6T read path, which reduces bitline switching. The proposed techniques are implemented for a 128Kb 6T SRAM, designed in a 28nm FDSOI technology. This thesis also presents a reconfigurable fully-integrated switched-capacitor based step-up DC-DC converter, which can be used to generate the body-bias voltage for a SRAM. 3 reconfigurable conversion ratios of 5/2, 2/1 and 3/2 are implemented in the converter. It provides a wide range of output voltage, 1.2V-2.4V, from a fixed input of 1V. The converter achieves a peak efficiency of 88%, using only on-chip MOS and MOM capacitors, for a high density implementation.
by Avishek Biswas.
S.M.
PIPINO, ALESSANDRA. "Design of Analog Circuits in 28nm CMOS Technology for Physics Applications." Doctoral thesis, Università degli Studi di Milano-Bicocca, 2017. http://hdl.handle.net/10281/158126.
Full textThe exponential trend of the complementary metal-oxide-semiconductor (CMOS) technologies predicted by Moores law has been successfully demonstrated over the last three decades. A constant downscaling of CMOS technologies with smaller and smaller device size has been developed, in order to comply with requirements on speed, complexity, circuit density and power consumption of advanced high performance digital applications. The minimum reachable length, which corresponds to the half the length of the channel of the smallest transistor that can be manufactured, represents every following technological node. With the arrival of nanoscale (sub-100nm) CMOS technologies, digital performance improve further, but many new challenges have been introduced for analog designers. In fact, for the digital circuits CMOS scaling-down leads to several benefits: speed improvement, reduced power consumption, high integration and complexity level. The analog circuits, instead, strongly suffers from the ScalTech trend, because the MOS behavior dramatically changes through the different technological nodes and especially for the ultra-scaled ones, where second order effects, previously negligible, become very important and start to be dominant, affecting its performance. For instance, lower intrinsic DC-gain, reduced dynamic range, operating point issues and larger parameter variability are some of the problems due to scaling-down. Analog designers must face this problems at different phases of the design, circuital and layout. Despite that, the design of analog circuit in sub-nm technologies is mandatory in some cases or can be even helpful in others. For example, in mainly mixed-signal systems, the read-out electronic requires high frequency performance, so the choice of deep submicron technology is mandatory, also for the analog part. Other types of applications where using scaled technology is even strategical are the high-energy physics experiments, where read-out circuits are exposed to very high radiation levels with consequent performance degradation and breakdown events. Since radiation damage is proportional to gate oxide volume, smaller devices exhibit lower radiation detriment. It has been demonstrated in fact, that 28nm CMOS technology devices are capable to sustain 1Grad-TID exposure, not possible with previous technologies. In this thesis, the main key challenges in ultra-scaled technologies are analyzed in the first chapter, and then integrated circuits designed in 28nm CMOS technology are presented. The first circuit design, presented in the second chapter and integrated in 28nm CMOS technology, is a Fast-Tracker front-end (FTfe) for charge detection. The read-out system has been developed starting from the main specifications and circuital solutions already adopted for muon detection in ATLAS experiment. The proposed front-end is able to detect an event and soon after to reset the system in order to make the FTfe already available for the following event, avoiding long dead times. The architecture is analyzed in detail, followed by the layout choices and the performance results. The second circuit design presented in the third chapter and always integrated in 28nm CMOS technology, is a Chopper instrumentation amplifier. Instrumentation amplifiers are the key building blocks in sensor and monitoring applications, where they are used to sense and amplify usually very small (sub-mV) and low frequency signals. For this reason it is important to reduce or eliminate the input offset and flicker noise, which cover and disturb the main signal to be detected. The proposed amplifier use a modulation technique, called chopper, in order to meet the low offset and low flicker noise requirements. Moreover it has been modeled to operate in sub-threshold region, in order to address the scaling problems. After the architecture description, layout and results of the integrated prototype are shown.
Lorrain, Vincent. "Etude et conception de circuits innovants exploitant les caractéristiques des nouvelles technologies mémoires résistives." Thesis, Université Paris-Saclay (ComUE), 2018. http://www.theses.fr/2018SACLS182/document.
Full textIn this thesis, we study the dedicated computational approaches of deep neural networks and more particularly the convolutional neural networks (CNN).We highlight the convolutional neural networks efficiency make them interesting choice for many applications. We study the different implementation possibilities of this type of networks in order to deduce their computational complexity. We show that the computational complexity of this type of structure can quickly become incompatible with embedded resources. To address this issue, we explored differents models of neurons and architectures that could minimize the resources required for the application. In a first step, our approach consisted in exploring the possible gains by changing the model of neurons. We show that the so-called spiking models theoretically reduce the computational complexity while offering interesting dynamic properties but require a complete rethinking of the hardware architecture. We then proposed our spiking approach to the computation of convolutional neural networks with an associated architecture. We have set up a software and hardware simulation chain in order to explore the different paradigms of computation and hardware implementation and evaluate their suitability with embedded environments. This chain allows us to validate the computational aspects but also to evaluate the relevance of our architectural choices. Our theoretical approach has been validated by our chain and our architecture has been simulated in 28 nm FDSOI. Thus we have shown that this approach is relatively efficient with interesting properties of scaling, dynamic precision and computational performance. In the end, the implementation of convolutional neural networks using spiking models seems to be promising for improving the networks efficiency. Moreover, it allows improvements by the addition of a non-supervised learning type STDP, the improvement of the spike coding or the efficient integration of RRAM memory
Torres, Florent. "Power amplifier design for 5G applications in 28nm FD-SOI technology." Thesis, Bordeaux, 2018. http://www.theses.fr/2018BORD0064/document.
Full textThe 5G future mobile network is planned to be deployed from 2020, in a context of exponential mobile market and exchanged data volume evolution. The 5G will leverage revolutionary applications for the advent of the connected world. For this purpose, several network specifications are expected notably low latency, reduced power consumption and high data-rates even if no standard is yet defined. The frequency bands traditionally used for mobile networks will not permit the needed performances and several mmW frequency bands are under study to create a complementary frequency spectrum. However, these mmW frequency bands suffer from large attenuation inbuilding material and in free-space. Therefore, several techniques will be implemented to tackle these limitations indense urban areas like backhauling, FD-MIMO and beamforming phased array. This is leading to a large number of transceivers for base stations and end-user devices. CMOS technology offers undeniable advantages for this mass market while FD-SOI technology offers additional features and performances. The power amplifier is the most critical block to design in a transceiver and is also the most power consuming. To address the 5G challenges, several specifications concerning power consumption, linearity and efficiency are expected. The environment variations inbeamforming phased array and the industrial context drive the need for robust topologies while power amplifier reconfigurability is benefic in a context of adaptive circuits. This thesis addresses these challenges by exploring the conception of a robust and reconfigurable power amplifier targeting 5G applications while integrating specific design techniques and taking advantage of 28nm FD-SOI CMOS technology features for reconfigurability purposes
Arfaoui, Wafa. "Fiabilité Porteurs Chauds (HCI) des transistors FDSOI 28nm High-K grille métal." Thesis, Aix-Marseille, 2015. http://www.theses.fr/2015AIXM4335.
Full textAs the race towards miniaturization drives the industrial requirements to more performances on less area, MOSFETs reliability has become an increasingly complex topic. To maintain a continuous miniaturization pace, conventional transistors on bulk technologies were replaced by new MOS architectures allowing a better electrostatic integrity such as the FDSOI technology with high-K dielectrics and metal gate. Despite all the architecture innovations, degradation mechanisms remains increasingly pronounced with technological developments. One of the most critical issues of advanced technologies is the hot carrier degradation mechanism (HCI) and Bias Temperature Instability (BTI) effects. To ensure a good performance reliability trade off, it is necessary to characterize and model the different failure mechanisms at device level and the interaction with Bias Temperature Instability (BTI) that represents a strong limitation of scaled CMOS nodes. This work concern hot carrier degradation mechanisms on 28nm transistors of the FDSOI technology. Based on carrier’s energy, the energy driven model proposed in this manuscript can predict HC degradation taking account of substrate bias dependence (VB) including the channel length effects (L), gate oxide thickness (TOX) , back oxide BOX (TBox) and silicon film thickness (TSI ). This thesis opens up new perspectives of the model Integration into a circuit simulator, to anticipate the reliability of future technology nodes and check out circuit before moving on to feature design steps
Bayat, Shahin. "Experiments and simulations on negative/positive bias temperature instability in 28nm CMOS devices." Thesis, University of British Columbia, 2015. http://hdl.handle.net/2429/55104.
Full textApplied Science, Faculty of
Electrical and Computer Engineering, Department of
Graduate
Fonseca, Alexandre. "Conception et réalisation de circuits de génération de fréquence en technologie FDSOI 28nm." Thesis, Nice, 2015. http://www.theses.fr/2015NICE4100/document.
Full textThe large-scale deployment of IoT requires the development of more efficient energy radio systems, within which the frequency generation circuit is known to be particularly energy-consuming. The objective of this thesis is firstly to develop a very low consumption frequency synthesis and secondly to demonstrate the performance of the FDSOI technology for analog and RF applications.In the first chapter are the specifications of the chosen standard -the BLE-, the specifications of the FDSOI technology and state of the art of low power radio frequency synthesizers architecture. We have chosen from this comparison the Fractional Phase Divider architecture. The second chapter presents the results of three types of system simulations of the PLL; 1 - the operation of its components and the key points to be respected for its implementation, 2 - the phase noise behavior for the definition of specifications, and 3 - the impact of architecture on the generation of spurious. This study allowed us to set the specifications of VCROs developed in the next chapter. The third chapter is dedicated to the design, implementation and testing of four topologies of VCROs and a test circuit in FDSOI 28nm technology. The first measurement results are encouraging but they need to be complemented by an integrated fractional PLL measurement. Indeed, the sensitivity of the circuits to the supply voltage (pushing of about 5 GHz/V) made measurements of phase noise very delicate. The measured consumption is less than 0.8 mA and the surface of the circuits is of the order of 600 µm².In the fourth and final chapter we present the implementation at circuit-level of a phase synchronization PLL
Souvignet, Thomas. "Contribution to the design of switched-capacitor voltage regulators in 28nm FDSOI CMOS." Thesis, Lyon, INSA, 2015. http://www.theses.fr/2015ISAL0043/document.
Full textMobile and multimedia devices offer more innovations and enhancements to satisfy user requirements. Chip manufacturers thus propose high performances SoC to address these needs. Unfortunately the growth in digital resources inevitably increases the power consumption while battery life-time does not rise as fast. Aggressive power management techniques such as dynamic voltage and frequency scaling have been introduced in order to keep competitive and relevant solutions. Nonetheless continuing in this direction involves more disruptive solutions to meet space and cost constraints. Fully integrated power supply is a promising solution. Switched-capacitor DC-DC converters seem to be a suitable candidate to keep compatibility with the manufacturing process of digital SoCs. This thesis focuses on the design of an embedded power supply architecture using switched-capacitor DC-DC converters.Addressing a large range of output power with significant efficiency leads to consider a multi-ratio power stage. With respect to the typical digital SoC, the input voltage is 1.8 V and the converter is specified to deliver an output voltage in the 0.3-1.2 V range. The reference voltage is varying according to typical DVFS requirements. A modular architecture accommodates the digital design flow where the flying capacitors are situated above the digital block to supply and the power switches are located as an external ring. Such an architecture offers high flexibility. Interleaving strategy is considered to mitigate the output voltage ripple. Such a converter admits the switching frequency as a control variable and linear regulation and hysteretic control are analyzed. A prototype has been fabricated in 28nm FDSOI technology by STMicroelectronics. A power density of 310 mW/mm2 is achieved at 72.5% peak efficiency with a silicon area penalty of 11.5% of the digital block area. The successful design methodology has been also applied to the design of a negative SC converter for body-biasing purpose in FDSOI. Simulation results demonstrate a strong interest for low power application
Sivadasan, Ajith. "Conception et simulation des circuits numériques en 28nm FDSOI pour la haute fiabilité." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT118.
Full textScaling of classical CMOS technology provides an increase in performance of digital circuits owing to the possibility of incorporation of additional circuit components within the same silicon area. 28nm FDSOI technology from ST Microelectronics is an innovative scaling strategy maintaining a planar transistor structure and thus provide better performance with no increase in silicon chip fabrication costs for low power applications. It is important to ensure that the increased functionality and performance is not at the expense of decreased reliability, which can be ensured by meeting the requirements of international standards like ISO26262 for critical applications in the automotive and industrial settings. Semiconductor companies, to conform to these standards, are thus required to exhibit the capabilities for reliability estimation at the design conception stage most of which, currently, is done only after a digital circuit has been taped out. This work concentrates on Aging of standard cells and digital circuits with time under the influence of NBTI degradation mechanism for a wide range of Process, Voltage and Temperature (PVT) variations and aging compensation using backbiasing. One of the principal aims of this thesis is the establishment of a reliability analysis infrastructure consisting of software tools and gate level aging model in an industrial framework for failure rate estimation of digital circuits at the design conception stage for circuits developed using ST 28nm FDSOI technology
Rahhal, Lama. "Analyse et modélisation des phénomènes de mismatch des transistors MOSFET avancées." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT061/document.
Full textFor correct operation, certain analog and digital circuits, such as current mirrors or SRAM, require pairs of MOS transistors that are electrically identical. Real devices, however, suffer from random local variations in the electrical parameters, a problem referred to as mismatch. The aim of this thesis is to understand the physical causes of mismatch, to quantify this phenomenon, and to propose solutions that enable to reduce its effects. In this context, four major areas are treated. The first one focuses on the optimization of mismatch measurement methodologies. A new technique for the measurement of Vt and β mismatch and an ID mismatch model are proposed, analyzed and applied to experimental data for 28 nm Bulk and FD SOI technologies. The second area focuses on the characterization of different configurations of MOS transistors in order to propose design architectures that are optimized for certain applications. Specifically, the possibility of replacing LDEMOS with transistors in cascode configuration is analyzed. The third area focuses on the analysis and modeling of mismatch phenomena in advanced Bulk and SOI transistors. Three aspects are analyzed: 1) the impact of the introduction of germanium in P channel of 28nm BULK transistors; 2) the elimination of the metal gate contribution to Vt mismatch by using 20nm Gate-last Bulk technology; 3) a descriptive study of the principal contributions to Vt, β and ID mismatch in 28 and 14 nm FD SOI technologies. The last area treats the mismatch trends with transistor aging. NBTI stress tests were applied to PMOS 28nm FD SOI transistors. Models of the Vt and β mismatch trends as a function of the induced interface traps and fixed charges at the Si/SiO2 interface and in the oxide were developed and discussed
Vignetti, Matteo Maria. "Thermal simulations and design guidelines on multi-finger PAs based on 28nm FD-SOI technology." Thesis, KTH, Skolan för informations- och kommunikationsteknik (ICT), 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-142677.
Full textKempf, Thibault. "Caractérisation et fiabilité des mémoires embarquées non volatiles pour les nœuds technologiques 40nm et 28nm." Electronic Thesis or Diss., Université Côte d'Azur (ComUE), 2019. http://www.theses.fr/2019AZUR4093.
Full textSplit-gate memory technologies propose non negligible improvement of the performance and reliability of embedded non-volatile memory in microcontroller products targeting growing market such as automotive or Internet of Things. In this thesis, a unique and innovative split-gate memory based on a trench select transistor, called embedded Select Trench Memory (eSTM) is presented. After a concise state of art, a chapter is devoted to the presentation of several tools to improve the characterization and analysis of the memory from single cell to testchip. Especially tools to analyze the testchip's bitmap are proposed for the memory reliability and variability evaluation and optimization. These methodologies are then deployed in a chapter focusing on the eSTM intrinsic performance and reliability. The unique programming scheme due to the cell topology is described to understand the dependency of the programming mechanisms and the way to improve it. Then the tunnel oxide reliability improvement is studied as a key to eSTM cycling and retention. Finally, the limitations and advantages of the eSTM shrinking are discussed. In the following chapter, the extrinsic variability of the eSTM is studied based on the testchip. Each sources of variability are outsourced, and studied to extract their root causes which are either process-related, or design/layout related. This chapter closes on the relation between the reliability weaknesses and the memory variability. It highlights the importance of statistics study through adapted device such as testchip and the causal connection between the variability and the reliability that can affect the product reliability, lifetime and yield
Akbal, Madjid. "Effets d’antenne sur transistors FDSOI à film ultra mince issus de technologies 28nm et en deçà." Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAT012/document.
Full textSince its beginning, the microelectronic industry is aiming to increase the circuits performance and density, following Moore’s law. Hence, since the commercialization of the first circuit in 1971, the industry focuses on the transistor dimensions reduction, which improve the device performances. But, starting from the 28nm technological node, the electrostatic has become very difficult to control, and new device structure, such as the FDSOI, is proposed by STMicroelectronics to resolve this issue. The antenna effects, which occur during plasma processes, induce gate oxide damages, which can lead to the loss of those new technology benefits. In this context, the analysis of this phenomenon on the electrical behavior of FDSOI devices is key. This is the main objective of this work. First, an experimental protocol is defined, based on plasma processes characterization technique (antenna structures), and gate oxide damage characterization. Then, a charging flow mode specific to this new technology is proposed. The mechanisms linked to the antenna damages are also investigated. The first mechanism is linked to the plasma local nonuniformity between the device nodes, which induces a stress mode similar to hot carrier injection. The second mechanism is related to the antenna topography, which generates electron shading effect, thus promoting an electrical imbalance between the device nodes. Finally, a model based on the simulator circuit ELDO ®, which allows reproducing the behavior of this phenomenon on the FDSOI technology is proposed. This model takes into account the antenna structure characteristics and the plasma parameters. Based on the model simulations, various solutions to reduce the antenna voltages are proposed. Prevention rules during the circuit design were also proposed and implemented
Hesse, Marjorie. "Développement de nouvelles architectures mémoires non-volatiles embarquées pour les plateformes technologiques avancées 40nm et 28nm." Thesis, Université Côte d'Azur (ComUE), 2019. http://www.theses.fr/2019AZUR4069.
Full textAdvanced applications based on microcontrollers cover multiple domains. The increase of the field of microcontrollers application is accompanied by a growth of the power consumption. This is a limit of the autonomy of nomadic systems. The technological advance towards ultra-low-consumption CMOS platforms is a major challenge to the requirements of mobile markets and other emerging applications with embedded non-volatile memories. These memories are constantly evolving, particularly by the size shrinking to advanced technological nodes such as 40nm and 28nm. In this thesis, we will present an innovative non-volatile memory called eSTM (embedded Select Trench Memory). This cell possesses a memory transistor and a vertical select transistor. The select transistor is essential to the optimization of the cell consumption. This memory constitutes a 2T architecture with a reduction of area. The objective of this thesis is to study this cell developed on a 40nm technological platform. We will identify the various problems related to miniaturization towards the 28nm technological node. Through the modelling, the electrical characterization and the theoretical calculations, we will see that it is possible to find solutions as the adaptation of the various implants and the dimensions of the memory transistor. This optimization of the eSTM cell will also be the subject of this thesis work
Daubriac, Richard. "Caractérisation de techniques d'implantations ioniques alternatives pour l'optimisation du module source-drain de la technologie FDSOI 28nm." Thesis, Toulouse, INSA, 2018. http://www.theses.fr/2018ISAT0031/document.
Full textDuring the past few decades, the emergence of new architectures (FDSOI, FinFETs or NW-FETs) and the use of new materials (like silicon/germanium alloys) allowed to go further in MOS devices scaling by solving short channel effect issues. However, new architectures suffer from contact resistance degradation with size reduction. This resistance strongly depends on two parameters: the active dopant concentration close to the semi-conductor surface and the Schottky barrier height of the silicide contact. Many solutions have been proposed to improve both of these physical parameters: pre-amorphisation, laser annealing, dopant segregation and others. In order to optimize the experimental conditions of these fabrication techniques, it is mandatory to measure precisely and reliably their impact on cited parameters.Within the scope of this thesis, two parts are dedicated to each lever of the contact resistance, each time precising the developed characterization method and concrete application studies. The first part concerns the study of the active dopant concentration close to the semi-conductor surface. In this axis, we developed a Differential Hall Effet method (DHE) which can provide accurate depth profiles of active dopant concentration combining successive etching processes and conventional Hall Effect measurements. To do so, we validated layer chemical etching and precise electrical characterization method for doped Si and SiGe. Obtained generated profiles have a sub-1nm resolution and allowed to scan the first few nanometers of layers fabricated by advanced ion implantation and annealing techniques, like solid-phase epitaxy regrowth activated by laser annealing. In the second part, we focused on the measurement of Schottky barrier height of platinum silicide contact. We transferred a characterization method based on back-to-back diodes structure to measure platinum silicide contacts with different dopant segregation conditions. The electrical measurements were then fitted with physical models to extract Schottky barrier height with a precision of about 10meV. This combination between measurements and simulations allowed to point out the best ion implantation and annealing conditions for Schottky barrier height reduction.To conclude, thanks to this project, we developed highly sensitive characterization methods for nanoelectronics application. Moreover, we brought several clarifications on the impact of alternative ion implantation and annealing processes on Si and SiGe ultra-thin layers in the perspective of contact resistance reduction in FDSOI source-drain module
Belfiore, Guido, Laszlo Szilagyi, Ronny Henker, and Frank Ellinger. "Low power laser driver design in 28nm CMOS for on-chip and chip-to-chip optical interconnect." SPIE, 2015. https://tud.qucosa.de/id/qucosa%3A34801.
Full textFagot, Jean-Jacques. "Développement de nouvelles architectures de sélecteurs pour mémoires non-volatiles embarquées dans des plateformes technologiques avancées 28nm." Electronic Thesis or Diss., Aix-Marseille, 2019. http://www.theses.fr/2019AIXM0608.
Full textWith the miniaturization of components and technologies ever more aggressive in terms of dimensions, flash memory face increasingly complex integration problems, generating high costs, especially in 28nm FD-SOI and beyond. The non-volatile integrated memory market is therefore moving towards innovative solutions in full development, more attractive in terms of costs and offering a large margin of evolution. We find, in particular, magnetic (MRAM), resistive (RRAM) and phase change (PCM) memories. However, the competitiveness of these memories being directly related to their size and cost, one of the major challenges is the integration of a selector at the same time compact, performing and inexpensive. The company STMicroelectronics, partner of this thesis, chose to move towards PCM type memories. The selectors are critical components in the operation of this type of memory. In this context, the work of this thesis revolves around three types of selectors for PCM memories: the MOS transistor, the diode, and the bipolar transistor. Each of these selectors has its advantages and disadvantages. The operation and integration in 28nm FD-SOI technology of these selectors is studied, developed, then characterized, and finally, potential improvement axes are proposed in each part
Rostand, Neil. "Modélisation compacte de l'effet des radiations naturelles des dispositifs sub-28nm pour des applications automobiles et aéronautiques." Thesis, Toulouse, ISAE, 2019. http://www.theses.fr/2019ESAE0035.
Full textThe purpose of the PhD was to develop "Single Event Transient"(SET) and "Total Ionizing Dose" (TID) models for sub-28nm MOS technologies. These models have been developed according to standards of compact modeling in order to be used into SPICE simulators (ELDO, SPECTRE, PSPICE ...) while main physical features are taken into account. The implementation has been done in Verilog-A langage.During the first year, SET physical investigation has been done performing TCAD simulations. It supported model development of SET applied to BULK technologies. During the second year, this model has been turned into a compact model and implemented in Verilog-A, which required the development of an implementation method involving equivalent electrical circuit. The resulting model has been able to predict "Single Event Upsets" (SEUs) in memories and functional errors in shift registers. Moreover, physical investigation of TID has been performed through TCAD simulations of FDSOI MOSFETs. TID effects have been included into standard FDSOI transistor model LETI-UTSOI. The model has been validated through TCAD simulations and has been used to extract TID parameters on experimental devices irradiated in CEA/DAM. The third year has been partly dedicated to SET model development for very integrated technologies (relying on SOI technology).This model takes bipolar amplification into account as well as 3D charge deposit morphology induced by the ionizing particle. TCAD validations have been performed in order to validate the model. Moreover, this model has been included into multi-physics simulator MUSCA SEP3 in order to assess SEE risk in FDSOI memory matrix. it has been found that the physical features the model is able to model can influence reliability of this assessment
Dobri, Adam. "Mémoires embarquées non volatiles à grille flottante : challenges technologiques et physiques pour l’augmentation des performances vers le noeud 28nm." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT030/document.
Full textFlash memory circuits are embedded in almost every aspect of modern life as their ones and zeros represent the data that is stored on smart cards and in the sensors around us. In floating gate flash memories this data is represented by the amount of charge stored on a poly-Si gate, isolated by a tunneling oxide and an Inter Gate Dielectric (IGD). As the microelectronics industry’s researchers and engineering continuously push the scaling limits, the ability of the devices to hold their information may become compromised. Even the loss of one electron per day is too much and would result in the failure to retain the data for ten years. At such low current densities, the direct measurement of the leakage current is impossible. This thesis presents a new way, Oxide Stress Separation, to measure these currents by following the changes in the threshold voltage of the flash cell. The novelty of the technique is that the biasing conditions are selected such that the stress occurs entirely in the IGD, allowing for the reconstruction of an IV curve of the IGD at low biases. This thesis also describes the process changes necessary to integrate the world’s first 40 nm embedded flash based on an alumina IGD, in replacement of the standard SiO2/Si3N4/SiO2. The interest in high-k materials comes from the motivation to make an IGD that is electrically thin to increase coupling while being physically thick to block charge transport. As embedded flash at the 40 nm node nears production, the approach to be taken in future nodes must also be discussed. This provides the motivation for the final chapter of the thesis which discusses the co-integration of the different IGDs with logic devices having the high-k metal gates necessary at 28 nm and beyond
González, Santos Ángel de Dios. "Circuits de traitement de signal numérique en temps continu ultra-faible consommation en technologie 28nm FDSOI pour applications audio." Thesis, Lille 1, 2020. http://www.theses.fr/2020LIL1I047.
Full textThe focus of this work is the study and development of a feature extraction system using Continuous-Time Digital Signal Processing (CT DSP) techniques, to mitigate the drawbacks of existing implementations based on traditional analog and digital solutions of always-on monitoring sensors for the Internet of Things (IoT). The target is to extract the spectral content of an audio signal using a novel architecture based on a cascade of configurable CT DSP Finite Impulse Response (FIR) filters. An efficient cascade scheme is enabled by the proposed glitch elimination and delta encoding techniques. Additionally, this work introduces a CT function to estimate the instantaneous power within selected frequency bands to build an output spectrogram. The proposed 12-band system has been validated using behavioral simulations. The key element for the implementation of this system is the digital delay element. A new delay element has been designed and fabricated in 28nm FDSOI technology and achieves a record tuning range from 30 ns to 97 µs with a power consumption of 15 fJ/event. By extrapolating this result, the system would have an overall peak power consumption of 2.85 µW when processing typical female speech, while consuming approximately 100 nW when no events are generated. Thus, the average system power consumption outperforms state-of-the-art feature extraction circuits
Bernard, Sébastien. "Bascules à impulsion robustes en technologie 28nm FDSOI pour circuits numériques basse consommation à très large gamme de tension d'alimentation." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT071/document.
Full textThe explosion market of the mobile application and the paradigm of the Internet of Things lead to a huge demand for energy-efficient systems. To overcome the limit of Moore's law due to bulk technology, a new transistor technology has appeared recently in industrial process: the fully-depleted silicon on insulator, or FDSOI.In modern ASIC designs, a large portion of the total power consumption is due to the leaves of the clock tree: the flip-flops. Therefore, the appropriate flip-flop architecture is a major choice to reach the speed and energy constraints of mobile and ultra-low power applications. After a thorough overview of the literature, the explicit pulse-triggered flip-flop topology is pointed out as a very interesting flip-flop architecture for high-speed and low-power systems. However, it is today only used in high-performances circuits mainly because of its poor robustness at ultra-low voltage.In this work, explicit pulse-triggered flip-flops architecture design is developed and studied in order to improve their robustness and their energy-efficiency. A large comparison of resettable and scannable latch architecture is performed in the energy-delay domain by modifying the sizing of the transistors, both at nominal and ultra-low voltage. Then, it is shown that the back biasing technique allowed by the FDSOI technology provides better energy and delay performances than the sizing methodology. As the pulse generator is the main cause of functional failure, we proposed a new architecture which provides both a good robustness at ultra-low voltage and an energy efficiency. A selected topology of explicit pulse-triggered flip-flop was implemented in a 16x32b register file which exhibits better speed, energy consumption and area performances than a version with master-slave flip-flops, mainly thanks to the sharing of the pulse generator over several latches
Simiz, Jean-Gabriel. "Approche holistique du contrôle du focus en photolithographie 193nm immersion pour les niveaux critiques en 28nm et 14nm FD-SOI." Thesis, Lyon, 2016. http://www.theses.fr/2016LYSES059/document.
Full textThe increasing complexity in chip integration (co-integration, increasing diversity of matérials…) and the race to dimension shrinkage are the two main drivers of research in microelectronics today. The optical limitations of lithography have been reached some years ago so that double patterning is now a typical process flow in production and helps reducing pattern size and increasing design density. Because of these, the manufacturing itself needs to be more tightly controlled in order to avoid marginalities. Which will affect the chip operation. The cross-effects between these elements are more numerous and their ratio in the total budget is larger whereas the needs for tighter process control are rising. This thesis presents a holistic approach of the control of one of the main parameters for photolithography: focus. It is directly linked to the quality of the image transferred into the photoresist during exposure. Its control is then essential. Variability sources for focus are manifold and diverse: laser, mask, optical column, servo-controllers, wafer flatness, integration, design, substrate reflectivity, material quality etc. All these are added to each other, leading to the creation of defects which can be catastrophic such as shorts. The first objective of this work was to show current challenges raised by STMicroelectronics new technologies, specifically photolithography-wise and focus-wise. A budget breakdown of two critical processes (Metal line patterning in 28nm FD-SOI and Contact patterning for 14nm FD-SOI) has been established which gives the impact of every effect. The product layout effects were evaluated to represent up to 20% of the complete budget and 50% of its intra-chip component. Topography contributes to a large part of these effects and offline measurements showed up to 32nm 3s of height variation in a single field. This may lead to local defocuses of the same order of magnitude. The usable depth of field being about 60 to 70nm for the studied layers, it is clear that focus control is really tight here. The holistic approach of topology leaded to the use of data mining tooling as PLS regression (Partial least Square). It allowed the highlighting of main causes of topography, the creation of a predictive model of topology and the evaluation of several improvement solutions. One may distinguish “palliative” and “curative” solutions. In the first category, on may put scanner levelling improvements which might be effective for every technology without any modification to make on integration and design. The emulated wafer map methodology providing on-product focus non-uniformities without any measurements is also a solution for investigation. “Curative” solutions may concern the mitigation of risk factors by modifying the design topography built-up main factors
Marin, Răzvan-Cristian. "Transmetteurs radiofréquences numériques fortement parallélisés avec amplificateur de puissance commuté et filtre de bande embarqués en technologie 28nm FD-SOI CMOS." Thesis, Lille 1, 2017. http://www.theses.fr/2017LIL10100/document.
Full textThe present PhD work covers the study, design and demonstration of all-digital transmitters targeting advanced communication standards for mobile applications in the frame of the Internet of Things (IoT). Key innovations are time-interleaved Delta-Sigma modulators (DSM) and a power and area-efficient switched-capacitor (SC) finite impulse response power amplifier (FIR-PA). The common FIR-PA block uses exclusively inverters and capacitors in a switched-capacitor configuration, thus being fully compatible with advanced CMOS technology nodes. The prototype is integrated in 28nm FD‐SOI CMOS technology with 10 metal layers and body biasing fine-tuning features. The proposed digital RF transmitter achieves 13.5 in‐band effective number of bits and is 900 MHz LTE‐compliant. The overall power consumption is 35 mW at 2.9 dBm peak output power and 1V supply. With respect to relevant state-of-the art, at similar output power levels, the FIR‐PA consumes 7 times less than a 10‐bit DSM‐based DAC and 25% less than a 12‐bit resistive DAC. The total active area is 0.047 mm2, at least 4 times lower than the smallest previously published work. Consequently, this work stands out for low power consumption thanks to the single-bit core solution combined with band filtering and low area achieved with a multi-layer FIR-PA cell structure. It demonstrates the transition from traditional analog to highly integrated digital-intensive transmitters targeting the future of mobile applications
Sarimin, Nuraishah. "Transmitter design in the 60 GHz frequency band." Thesis, Paris 6, 2017. http://www.theses.fr/2017PA066638.
Full textWith the proliferation of portable and mobile electronic devices, there is a strong need to exchange data quickly and conveniently between devices encouraging to overcome challenges in bandwidth shortages and congestion in the lower frequencies spectrum. Millimeter-wave (Mm-wave) technology is considered as one of the future key technologies to enable high data rates wireless applications due to its large abundant spectrum. Advanced CMOS technology nodes comes with high ft and fmax, enable low cost and widespread use of this spectrum. However, many associated challenges ranging from device, circuit and system perspectives for the implementation of a highly integrated mm-wave transceiver especially the power amplifier (PA) which identified to be the most challenging RF block to be designed. The system level concept of low power architecture is firstly studied and key blocks such as 60 GHz antenna and OOK modulateur in 130nm CMOS technology were presented. This thesis also explores the design challenges of mm-wave power amplifier in 28nm UTBB-FDSOI technology. Three different designs of 60 GHz power amplifier were demonstrated in 28nm LVT FDSOI : 1) A two-stage cascode PA, 2) A two-stage differential PA with low-km TMN, 3) A power combined two-stage differential PA with low-km TMN. The simulated performance including the consideration of key layout parasitics were presented. Future work will include for on-chip integration with the PA
Kumar, Pushpendra. "Impact of 14/28nm FDSOI high-k metal gate stack processes on reliability and electrostatic control through combined electrical and physicochemical characterization techniques." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT114/document.
Full textThis Ph.D. thesis is focused on the impact of the 14 and 28 nm FDSOI technologies HKMG stack processes on the electrical performance of MOS transistors. It concerns specifically the reliability aspect and the engineering of effective workfunction (WFeff ), through diffusion of lanthanum (La) and aluminum (Al) additives. This work combines electrical and physicochemical characterization techniques, and their development. The impact of La and Al incorporation, in the MOS gate stack, on reliability and device lifetime has been studied. La addition has a significant negative impact on device lifetime related to both NBTI and TDDB degradations. Addition of Al has a significant negative impact on lifetime related to PBTI, but on the contrary improves the lifetime for TDDB degradation. These impacts on device lifetime have been well correlated to the material changes inside the gate oxides. Moreover, diffusion of these additives into the HKMG stack with annealing temperature and time has been studied on different high-k materials. The diffused dose has been compared with the resulting shift in effective workfunction (WFeff), evidencing clear correlation. In addition, impact of TiN metal gate RF-PVD parameters on its crystal size and orientation, and device electrical properties has been studied. XRD technique has been used to obtain the crystal size and orientation information. These properties are significantly modulated by TiN process, with a low grain size and a unique crystal orientation obtained in some conditions. However, the WFeff modulations are rather correlated to the Ti/N ratio change, suggesting a change in the dipole at SiO2/high-k interface. Lastly, using specific test structures and a new test methodology, a robust and accurate XPS under bias technique has been developed to determine the relative band energy positions inside the HKMG stack of MOS devices. Using this technique, we demonstrated that WFeff shift induced by La and Al or by variations in gate thickness originates due to modifications of the dipole at SiO2/high-k interface
Ndiaye, Cheikh. "Etude de la fiabilité de type negative bias temperature instability (NBTI) et par porteurs chauds (HC) dans les filières CMOS 28nm et 14nm FDSOI." Thesis, Aix-Marseille, 2017. http://www.theses.fr/2017AIXM0182/document.
Full textThe subject of this thesis developed on four chapters, aims the development of advanced CMOS technology nodes fabricated by STMicroelectronics in terms of speed performance and reliability. The main reliability issues as Bias Temperature Instability (BTI) and Hot-Carriers (HC) degradation mechanisms have been studied in the most recent 28nm and 14nm FDSOI technologies nodes. In the first chapter, we presents the evolution of transistor architecture from the low-power 130-40nm CMOS nodes on silicon substrate to the recent FDSOI technology for 28nm and 14nm CMOS nodes. The second chapter presents the specificity of BTI and HCI degradation mechanisms involved in 28nm and 14nm FDSOI technology nodes. In the third chapter, we have studied the impact of layout effects on device performance and reliability comparing symmetrical and asymmetrical geometries. Finally the trade-off between performance and reliability is studied in the fourth chapter using elementary circuits. The benefit of using double gate configuration with the use of back bias VB in FDSOI devices to digital cells, allows to compensate partially or totally the aging in ring oscillators (ROs) observed by the frequency reduction. This new compensation technique allows to extend device and circuit lifetime offering a new way to guaranty high frequency performance and long-term reliability
Boussadi, Mohamed Amine. "Conception et développement d'un circuit multiprocesseurs en ASIC dédié à une caméra intelligente." Thesis, Clermont-Ferrand 2, 2015. http://www.theses.fr/2015CLF22552/document.
Full textSmart sensors today require processing components with sufficient power to run algorithms at the rate of these high-performance image sensors, while maintaining low power consumption. Monoprocessor systems are no longer able to meet the requirements of this field. Thus, thanks to technological advances and based on previous works on parallel computers, multiprocessor systems on chip (MPSoC) represent an interesting and promising solution. Previous works around this thesis have used FPGA as technological target. However, results have shown the limits of this target in terms of hardware resources and in terms of performance (speed in particular). This observation leads us to change the target from FPGA to ASIC. This migration requires deep rework at the architecture level. Particularly, existing IPs around the method (called HNCP for Homogeneous Network of Communicating Processors) have to be revisited. To take advantage of the performance offered by the ASIC target, proposed multiprocessor systems are based on the flexibility of its architecture. Combined with parallel skeletons that ease programmability of the architecture, the proposed circuits allow to offer systems that support various real-time image processing algorithms. This work has led to the fabrication of an integrated circuit based on a single processor and its peripheral using ST CMOS 65nm technology with an area around 1 mm². Moreover, two flexible multiprocessor architectures based on the concept of parallel skeletons have been proposed (a 16 cores 65 nm CMOS multiprocessors and a 64 cores 28 nm FD-SOI CMOS multiprocessors)
Tmimi, Mohammed. "Nouvelle approche pour lien série en technologie FD-SOI 28 nm CMOS avancée et au-delà." Thesis, Université Grenoble Alpes, 2020. http://www.theses.fr/2020GRALT079.
Full textThe global internet traffic exceeded the zettabyte marker in 2016. Since then, internet traffic proliferated with a compound annual growth rate of 26%; and is expected to continue its astronomical growth rate. This perpetual growth has significant implications for networking technologies. Researchers anticipated their limits and managed to stay ahead of the curve by innovating and optimizing all data transfer levels. In that context, this work focuses on on-chip data transfer, acknowledging that communication energy efficiency is one of the integrated circuits near future bottlenecks, as the gap between the computation energy and on-die IC energy grows.Evidently, improvements have to be made to the existing links solutions; higher data rates must be reached while considering the energy efficiency and the circuit complexity. Furthermore, with the increasing data rates, signal integrity problems arise due to channel imperfections. Although transistor scaling provided higher density packing of devices and faster transistors, it did not benefit the interconnections performance since it resulted in higher wires density. Wires are more sensitive to their environment than active devices, that is, closer wires are more sensible to crosstalk and longer delay due to the wire's intrinsic delay. Delay is a critical metric for data transmission. In this work, we developed a high data-rate low delay solution for long-range on-chip serial links. The developed solution is complementary to the massively employed existing solutions. We believe it will help solve some of their issues and extend the existing Network on chips architectures lifetime.We start this work by introducing the standard and emerging on-chip interconnect solutions, then discussing their advantages and challenges. The chosen RF interconnects technique is most suitable for our requirements, mainly due to low delay, high available bandwidths, and CMOS process compatibility/friendliness. This approach requires transmitting the data at high frequencies instead of the baseband, that is, up-converting the data signal before transmitting it through the transmission lines. In practice, transmission lines behave differently at baseband and high-frequencies. In particular, both distortion and delay are much lower at high-frequencies. These two properties are essential for our work; low distortion implies that high signal integrity is reached without equalization or error-correcting codes, up to 14 Gbps in the proposed study. At least four times lower than baseband delay, the high-frequency low delay property signifies that long distances across the chip can be crossed in less time.We believe this approach is most beneficial for distances longer than a couple of mm and up to twentieth mm.Bandwidth at higher frequencies (60 GHz in our case) is a valuable commodity. To take full advantage of it, we used duobinary modulation to double the data rate. This spectrum compression relaxes the RF components constraints such as linearity; The chosen modulation simplifies the demodulation where a simple envelope detector is used to recover the data.A 10 Gbps prototype chip was designed and fabricated in the advanced 28 nm FD-SOI technology from STMicroelectronics. In this work, we explained the design process of the transceiver (composed of a transmitter, a receiver, and a 4.6mm channel). The simulation results showed that we reached a higher data rate (at least double) than the state of the art, for a smaller area and a comparable energy efficiency. The post-layout simulation resulted in a BER lower than 10^(-12). The measurement results will be published in future works.We also proposed to use the same approach for interposer channels to connect chiplets with minimal delay. We study its application for a 130 nm BiCMOS technology passive silicon interposer. We connected two 28 nm FD-SOI chiplets at a 7-mm distance and achieved a BER lower than 10^(-12) with a 7 ps/mm delay in simulations
Foucaud, Mathieu. "Etude de la dégradation de la protection par des résines photosensibles de la grille métallique TiN lors de gravures humides pour la réalisation de transistors de technologies sub-28nm." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT030/document.
Full textMaterials wet etching is still used in some specific steps of the transistors manufacturing process in microelectronics. This etching is performed in the presence of photoresist masks that define the areas to be protected from the chemical etchants. One of the major problems encountered during this technology step is the degradation of both photoresist patterns and the photoresist / material interface, which leads to the underlying material's damaging. The goal of this thesis is to study these degradations, during the wet etching of the TiN / Al / TiN metal gate of a pMOS transistor using a SC1 chemical solution (NH4OH/H2O2/ H2O), for sub-28 nm technology nodes. In our study, the stack that protects the metal gate is a bilayer with a 248 nm photoresist and a developable anti-reflective coating (or dBARC). The first part of our work was to lead a phenomenology study of the various parameters impacting the polymers adhesion on TiN. It showed the strong influence of the TiN surface state before lithography, especially its ageing. In a second part, we studied various solutions to improve the polymers stack adhesion during the SC1 etching. No TiN surface treatment could enhance this adhesion, but we found that increasing the dBARC bake temperature lead to an increase of carbon grafting on TiN, which thus gave a better resistance of photoresist patterns to SC1 etching. Then in a third part, we highlighted the TiN surface damaging after SC1 diffusion through the resist bilayer and proposed a mechanism explaining this phenomenon. We also developed an innovative experimental device based on infrared spectroscopy in the Multiple Internal Reflections (MIR) mode to characterize the diffusion of chemical etchants in the polymers stack, and study the various parameters that may impact it
Bezza, Anas. "Caractérisation et modélisation du phénomène de claquage dans les oxydes de grille à forte permittivité, en vue d’améliorer la durée de vie des circuits issus des technologies 28nm et au-delà." Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAT097.
Full text.Today, in the race for miniaturization, the microelectronics industry faces new challenges. In addition to the strong competition of other component manufacturers, new constraints related to the reliability of devices have emerged. Indeed, the transition from the "all silicon" technology relatively simple to the high-k/metal gate technology has generated a reduction in reliability margins of gate oxides. As such, it becomes necessary to investigate new approaches that can provide more gain in lifetime for the MOS transistors. In this respect, this work gives firstly an overview of different methods of characterization used for the study of aging high-k metal gate devices. In this context, the need to develop and implement new fast techniques essential to the study of the oxide breakdown is exposed. Afterwards, in order to show that the estimated lifetimes today are pessimistic, we presented a reliability study based on understanding and modeling the mechanism of TDDB (Time Dependent Dielectric Breakdown) on advanced high-k/metal gate stacks based technology. Finally, the manuscript focuses on a number of investigation areas that could provide a significant margin for the TDDB lifetime
Sourikopoulos, Ilias. "Techniques de traitement numérique en temps continu appliquées à l'égalisation de canal pour communications millimétriques à faible consommation." Thesis, Lille 1, 2015. http://www.theses.fr/2015LIL10189/document.
Full textReceivers for 60GHz wireless communications have been profiting from innovation in wired links in order to meet a power budget that will enable integration in next‐generation high-speed portable wireless terminals. Mixed‐signal implementations of the Decision Feedback Equalizer (DFE) have been proposed to alleviate overall system consumption. In this thesis, power minimization is pursued by removing the clock from the feedback path of the DFE. Inspired by recent developments in Continuous‐Time Digital Signal Processing, a continuous‐time digital delay line is used. The design aims at mitigating wireless channel impairments caused by signal reflections in typical Line‐of‐Sight, indoors deployment conditions. The system is shown theoretically to achieve channel‐dependent power consumption within acceptable Bit Error Rate performance for decoding. Moreover, a programmable digital delay element is proposed as the granular element of the delay line that exploits body biasing to achieve a coarse/fine functionality. Prototype DFE and delay lines have been fabricated and characterized in 28nm Fully Depleted Silicon Over Insulator technology (FDSOI)
Zine, el abidine Nacer. "Evaluation de Réticules Avancés : Propriétés optiques des réticules et prise en compte de leur processus de fabrication dans l’amélioration des modèles OPC pour étendre les fenêtres de procédés en lithographie optique par immersion, pour les noeuds technologiques 28nm et 14nm." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT079/document.
Full textFor advanced technologies nodes, immersion optical lithography using 193nm sources reaches its limits in terms of resolutions. Since new lithography techniques such as Extreme UV or multi-beam writing are not yet ready from an industrial point of view, a continuous evolving set of tools known as Resolution Enhancement Technics (RET) allows to continue working with immersion equipment, pushing the resolution limits as much as possible. With the increasing design complexity, this task is more and more challenging. Within this frame this dissertation is addressed to improve the lithographic process variability by focusing on one of the main elements: the reticles.The first part brings together the state of the art of optical photolithography and resolution improvement techniques, as well as a set of concepts useful for parts B and C understanding. Part B deals with the reduction of focusing effects, better known as "Best focus shift mitigation", observed on dense levels such as "Metal" for advanced technologies. This part helps to understand the influence of the physical organs on the optical path and proposes as a solution to the Best focus Shift a reticle change, firstly validated via simulation and then experimentally at wafer level. Part C presents how the inherent effects of reticle fabrication can be taken into account in the Optical Proximity effects Corrections steps, from the description of the effects involved to the calibration and the use of a dedicated mask model
Viale, Benjamin. "Development of predictive analysis solutions for the ESD robustness of integrated circuits in advanced CMOS technologies." Thesis, Lyon, 2017. http://www.theses.fr/2017LYSEI117.
Full textAs Integrated Circuits (ICs) become more complex and susceptible to ElectroStatic Discharges (ESD), the ability to reliably verify the presence of ESD design weaknesses over a multi-billion transistor chip prior to the tape-out is a major topic in the semiconductor industry. Commercial tools dedicated to Electronic Design Automation (EDA) and related verification flows are in charge of providing checks that have been proven to be efficient for circuits with a mainstream architecture. However, they suffer limitations when confronted with custom designs. Moreover, these verification methods are often run late in the design flow, making any design re-spin costly in terms of corrective efforts and time. This Ph. D. thesis proposes a systematic and scalable ESD verification methodology embodied in a tool called ESD IP Explorer that has been specifically implemented to cover the entire design flow and to comply with custom circuit architectures. It is composed of a recognition module and a verification module. The recognition module first automatically identifies ESD protection structures, embedded in integrated circuits to enhance their ESD hardness, according to a topology-aware recognition mechanism. The verification module then converts the ESD protection network that is formed by ESD protection structures into a directed graph. There, technology-independent and graph-based verification mechanisms perform a chip-scale quasistatic ESD analysis. Machine learning algorithms have been used in order to infer the quasistatic behavior of ESD IPs from the netlist instance parameters of their primary devices. This approach has the advantage that no simulation is required during the execution of ESD IP Explorer, which makes the tool architecture simpler and improves execution times. Implementation efforts pertained to the compliance of ESD IP Explorer with the 28nm Fully Depleted Silicon On Insulator (FD-SOI) technology node. The developed verification tool has been used to successfully analyze a digital and mixed-signal circuit prototype counting more than 1.5 billion transistors in several hours, as well as custom designs that could not be analyzed by means of traditional verification tools due to incompatibility issues
Wearn, Jennifer. "Understanding and improving the capping performance of 28mm plastic beverage closures." Thesis, University of Sheffield, 2006. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.434572.
Full textTaylor, Ian James. "Development of T2K 280m near detector software for muon and photon reconstruction." Thesis, Imperial College London, 2009. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.505000.
Full textEriksson, Emil, and Anna Hammarstedt. "Utveckling av barnskyddande kapsyl." Thesis, Linnéuniversitetet, Institutionen för teknik, TEK, 2012. http://urn.kb.se/resolve?urn=urn:nbn:se:lnu:diva-19800.
Full textHartwig, Jason William. "Acetone-LIF at Elevated Pressure and Temperature for 282nm Excitation: Experiments and Modeling." Cleveland, Ohio : Case Western Reserve University, 2010. http://rave.ohiolink.edu/etdc/view?acc_num=case1251506537.
Full textTitle from PDF (viewed on 2009-11-23) Department of EMC - Mechanical Engineering Includes abstract Includes bibliographical references and appendices Available online via the OhioLINK ETD Center
Lallement, Guénolé. "Extension of socs mission capabilities by offering near-zero-power performances and enabling continuous functionality for Iot systems." Electronic Thesis or Diss., Aix-Marseille, 2019. http://www.theses.fr/2019AIXM0573.
Full textRecent developments in the field of low voltage integrated circuits (IC) have paved the way towards energy efficient electronic devices in a booming global network called the internet-of-things (IoT) or the internet-of-everything (IoE). However, the sustainability of all these inter- connected sensors is still undermined by the constant need for either an on-board battery – that must be recharged or replaced – or an energy harvester with very limited power efficiency. The power consumption of present consumer electronic systems is fifty times higher than the energy available by cm 2-size harvester or limited to a few months on a small battery, thus hardly viable for lifetime solutions. Upcoming systems-on-chip (SoCs) must overcome the challenge of this energy gap by architecture optimizations from technology to system level. The technical approach of this work aims to demonstrate the feasibility of an efficient ultra-low-voltage (ULV) and ultra-low-power (ULP) SoC using exclusively latest industrial guidelines in 28 nm and 22 nm fully depleted silicon on insulator (FD-SOI) technologies. Several multi-power-domain SoCs based on ARM cores are implemented to demonstrate wake up strategies based on sensors inputs. By optimizing the system architecture, properly selecting and designing compo- nents with technology features chosen adequately, carefully tuning the implementation, a fully energy-optimized SoC is realized
Chang, Pin-Hsin, and 張品歆. "ESD Protection Design in 28nm High-K / Metal Gate Process." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/98588467377714995154.
Full text國立交通大學
電子工程學系 電子研究所
103
With the on-going shrinking of CMOS technologies, the devices in the integrated circuits (ICs) have been fabricated with ultra-thin gate oxide thickness to attain high speed and low power consumption. However, electrostatic discharge (ESD) events were not scaled down with the scaling in CMOS technologies. Although the high-k dielectric has been introduced in sub-50-nm CMOS technologies, the MOS transistors are still sensitive to ESD. Therefore, ESD has become the major concern of reliability for ICs in nanoscale CMOS technology. To discharge the high ESD energy without causing damage to integrated circuits, the turn-on behavior of parasitic bipolar junction transistors (BJTs) inherent in NMOS or PMOS transistors plays an important role. The NMOS and the PMOS with gate connected to source have been used as the ESD clamp devices, that is to say, gate-grounded NMOS (GGNMOS) and gate-VDD PMOS (GDPMOS). In order to discharge more ESD current and use area efficiently, the transistors utilize the multi-finger structure. The GGNMOS has obvious snapback phenomenon due to large current gain of parasitic NPN BJT. The first turn-on finger will be burn out and results in nun-uniform turn-on issue. Thus, the ESD robustness is not increasing with enlarging the width of ESD devices. In this work, inserting inner pickups in source side of MOS transistors is to improve ESD level. Measurement results indicate that additional pickups decrease the ESD robustness of the NMOS transistors because the base resistor value becomes smaller. Then, the ESD robustness of PMOS transistors almost keeps the same value whether raising the width of channel or inserting inner pickups into source side. The above statement is discussed in Chapter 2. With a view to improve the ESD performance of PMOS-based ESD clamp devices. A novel ESD protection design is proposed in and is presented in chapter 3. In chapter 3, a novel ESD protection design by using PMOS device with embedded silicon-controlled rectifier (SCR) is proposed in this work. This design employs the P-ESD implant which is put in the drain side of NMOS to lower the trigger voltage in a standard step of CMOS process. Hence, there is no need for extra mask/cost. Besides, the proposed device has the higher ESD robustness per area, more uniform turn-on behavior, and lower parasitic capacitance than GGNMOS and GDPMOS. Additionally, the proposed device has been tested to be free from latchup event. Accordingly, the proposed device can be a better solution for ESD protection in sub-50-nm CMOS process that cost becomes more expensive, the gate oxide thickness is getting to thinner, and the supply voltage is becoming lower. The above works in chapter 3 and chapter 4 have been designed, fabricated, and characterized in a 28-nm high-k/metal gate CMOS process.
Lin, Xuan-Yu, and 林軒宇. "Design and Comparative Study of 28nm ULV Bit-Interleaved SRAM Cells." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/dx7498.
Full textWang, Chih-Hsuan, and 王志玄. "Junction Breakdown and Punch-Through Effect for 28nm n/p-MOSFETs." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/09787196638926436724.
Full text明新科技大學
電子工程研究所
101
With the advancement of technology, the feature size of field-effect transistors coming from semiconductor manufacturing technology has evolved from sub-micron to 28nm process generation or beyond. Following the Moore's law, besides the reduction of process cost and the increase of device density in ICs due to the dimensional shrinkage of transistor devices, the increase of transistor switch speed is chiefly considered. Recently, many researchers proposed several strain engineering processes to promote the electrical characteristics of devices. In strain technology, there are two species: tensile strain and compressive strain. In the view of structural design, there are bi-axial strain (or global strain) and uni-axial strain (or local strain), causing tensile or compressive effect on device channel to improve the channel mobility. Because of these strain techniques, the effective mass or the probability of scattering for channel carriers will be reduced and the channel mobility will be relatively enhanced. In addition, to continuously scale down the feature size of CMOS devices, the gate leakage due to direct tunneling effect is huge to degrade the IC performance if the gate dielectric is still silicon dioxide, especially at 45 nm process or beyond. At that time, the thickness of gate dielectric is around 13Å or below. The short channel effect will be more obvious and the physical limitation will be quickly approached. Through the incorporation of high-k and metal gate process technology has a chance to suppress these drawbacks due to device shrinkage. However, there usually exists some bad bonding quality between high-k material and silicon channel surface. Forming a thin layer of interfacial layer as a buffer layer is a possible way to solve this interface issue, but may not be perfectly achieved. In this work, we focus on the S/D junction integrity with HK/MG process and the punch-through effect with the combination of strain technology or channel size variation. Furthermore, some strained devices under 45nm process will be treated as a control group to probe the shift among these devices under test.
Hu, Han-Wen, and 胡瀚文. "Comparative Study of 28nm Sub-threshold SRAM Designs Using Various 10T Bitcells." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/6g4nzs.
Full textLi, Kuang-Yu, and 李光宇. "28nm High-k Metal-Gate 256kb Near-/Sub-threshold 6T SRAM Design." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/4ydj65.
Full text國立交通大學
電子工程學系 電子研究所
104
In recent years, SRAMs are widely used as cache memory in high performance processor and embedded system. Because of the advantages of simple structure, high operation speed and high capacity density, the conventional 6T SRAM is the most widely used. With wearable devices and Internet of Things (IoT) is currently on the rise, Low-Power and Low-Voltage circuit design becomes a major trend in SoCs (System-On-Chip) nowadays. However, conventional 6T SRAM is hardly used to operate in low voltage due to severe read/write ability degradation in advanced process. This thesis presents a novel 6T Mini-array architecture with Vtrip tracing write assist (VTWA) to improve the write-ability. The Architecture can be operated with near/sub-threshold voltage. For low power application, we propose the Power-gating structure, and integrated low-swing voltage pre-charger with large-signal sensing scheme. The proposed near/sub-threshold 6T SRAM is demonstrated by a 1058 x 374 μm2 256kb SRAM macro in UMC 28nm high-k metal-gate (HKMG) CMOS technology. The full functionality is error-free under operating voltage from 0.9V to 0.4V. The measured maximum operation frequency is 866MHz at 0.9V, TT corner, 25℃.
LIU, ZHI RONG, and 劉知融. "28nm Low Voltage 6T SRAM with Lower Power Consumption Assist Circuit Design." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/tt3rja.
Full textlian, chun-wei, and 連俊瑋. "Electrical Quality of 28nm HK/MG pMOSFETs with PDA and DPN Treatment." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/96706413682719100043.
Full text明新科技大學
電子工程研究所
102
Following the advanced process technology entering the nano-scale era, the semiconductor industry due to the feature-size shrinkage of semiconductor devices confronts several barrier challenges, such as a thin gate oxide layer causing carrier direct tunneling and a higher threshold voltage (VT) bringing about the possibly lower drive current. Adopting high-K and metal gate (HK/MG) technologies is a suitable choice to alleviate these previous problems. Using HK/MG technology also benefits to freely adjust the threshold voltage and diminish the power consumption or delay time due to the lower gate resistance in the circuit concern. To avoid the over interface state density between HK and channel surface, growing an appropriate interfacial layer (IL) as a buffer layer is noteworthy. However, the thicker IL decreases the chief purpose of depositing HK dielectric to improve the drive current. The thickness control for IL is very essential. Employing gate-last (GL) process is able to avoid the higher source/drain annealing temperature causing molten metal gate and harming process integration. Utilizing sandwiched HfOx/ZrOy/HfOx (HZH) as a gate dielectric appears an adequate method to supply a plentiful relative dielectric constant. Depositing ZrOy as gate dielectric can provides a higher k-value. In this project, HfOx/ZrOy/HfOx as gate high-K material applied to 28nm devices was adopted. Furthermore, the decoupled plasma nitridation (DPN) process and the post deposition annealing (PDA) were employed during HZH annealing. Probing variables of the annealing temperature and the nitrogen concentration in DPN process influencing the device performance is a beneficial task in yield improvement. When the strain technology was also utilized, the device performance in different channel lengths and measured temperatures will depict the exploratory topics.
Huang, Tzu-Yi, and 黃子頤. "Energy Aware Low Voltage Cache Analysis and Design in 28nm CMOS Technology." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/23640080597459252250.
Full text國立中正大學
電機工程研究所
102
This paper proposed a transistor level energy model of on-chip caches that use SRAM technology. This model describe energy distribution for on-chip cache memories which show the dependence of the cache energy on the cache parameter. This model includes not only general cache parameters such as cache size (C), block size(B), and associativity(A), but also array configuration parameter (Ndwl, Ndbl, Nspd, Ntwl, Ntbl, and Ntspd) that are responsible for determining the subarray aspect ratio and the number of subarrays. This model makes it quickly evaluate the cache energy using three major cache parameters and the physical RAM array organization parameters without concrete circuit design. A large cache design space can be covered which cannot be done by only SPICE circuit simulation within a limited time. This model also take bit-interleaving and error correction code (ECC) into consideration for low voltage design. Using the model, it is obtained optimum array configuration parameters can be used to minimize the energy consumtion.
Du, Chong-Kuan, and 杜重寬. "Trend of Subthreshold Swing for 28nm HK/MG MOSFETs with DPN Process." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/15374142112431054721.
Full text明新科技大學
電子工程研究所
101
Following the promotion of semiconductor process and the requirements of the electronic products, continuously shrinking field-effect transistors (FET), some worldwide semiconductor companies at 90-nm process tried to change the structures of FETs, such as strain technology, to improve the device performance. Entering 32-nm node, some companies adopted the high-k material replacing the SiO2 as gate dielectric. However, the high-k material is more sensitive to temperature, especially at the high temperature status. In other words, the crystallization effect for pure high-k material will be obviously observed at the high temperature and easily cause higher gate leakage. Therefore, the amorphous gate dielectric in the advanced process is more impressive due to the device concern. Although high-k materials propose several benefits, there is oxygen vacancy to difficultly control the threshold voltage (VT) of a transistor and easily degrade the integrity of gate dielectric. The quality of interface state between gate dielectric and channel surface is a latent issue. Due to these drawbacks, using some nitridation process to repair the vacancy after high-k deposition is acceptable. There are two feasible nitridation processes: decoupled-plasma nitridation (DPN) and post deposition annealing. In the gate-last process, adopting these two processes after the deposition of HfOx/ZrOy/ HfOx was as comparison. In DPN process, the annealing temperatures and the nitrogen concentration were specially included to get the optimal process condition in process integration. After measurement and analysis, we observed that the NMOSFET device demonstrated the higher drive current and the lower VT as lower nitrogen concentration and higher annealing temperature. However, the good performance of PMOSFET was located as lower annealing temperature and lower nitrogen concentration. Additionally, the phenomena for the combination of DPN process and strain engineering causing the non-uniform trend distribution of subthreshold swing with device channel lengths were exposed.
Wang, Jhih-Ming, and 王志銘. "Floating Field Plate HV-MOSFET by 28nm High-k Metal Gate Process." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/06605599382399710618.
Full text國立清華大學
電子工程研究所
101
In recent years, the improvement of power electronics and power devices is one of key forces for energy efficient appliances in modern day lives. Continuous cost down and the tradeoff between breakdown voltage and on-resistance have always been major concerns in designing power devices. Most of the power devices in IC require some or substantial changes from generic CMOS process. However, these special processes and/or discrete power devices connected through wire bonding prevent the power circuits to be cost down further.On the other hand, scaled COMS technology creates high surface electric field, which seriously limit its operation voltage. It will be very hard to design HV circuits in advance CMOS technologies due to lack at HV devices. This work presents floating field plate (FFP) design for 28nm high-k metal gate MOS transistors. Floating metal gates are employed to extend the corner electric field at edge of drain junction under the thin core gate dielectric layer. The design of floating field plate on potential profiles and surface electric field distributions are studied by simulation data. Measurement results demonstrated that the floating field plates can effectively raise the gated breakdown voltage to the junction limit without process modifications. This fully logic compatible device does not need the additional masks of and/or wire bonding process for connection, hence, can be extended to various applications such as embedded memories.
Huang, Da-Cheng, and 黃大正. "Impact of TiN/HfO2/SiO2 Gate Stack Reliabilities for 28nm Node CMOS Devices." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/66jqj6.
Full text國立清華大學
電子工程研究所
103
The ultra-thin gate dielectrics in MOSFETs remain the key element in conventional silicon-based microelectronic devices era, the SiO2 gate oxide has played a critical role in device performance and scaling. As the physical thickness of SiO2-based gate oxides approaches ~2 nm, some key dielectric parameters degrade: gate leakage current, oxide breakdown from the poly-silicon gate electrode, and channel mobility. The solution is to replace conventional SiO2 gate oxides with a material having higher permittivity (high-k). High-k insulators can be grown physically thicker for the same (or thinner) equivalent electrical oxide thickness (EOT), thus offering significant gate leakage reduction. High-k material is introduced to replace SiO2 to solve the gate leakage problem. Even though considerable performance improvement and gate leakage reduction have been achieved, new reliability challenges of high-κ devices such as the positive and negative bias temperature instability (P/NBTI) and hot carrier injection (HCI) need to be investigated. This dissertation presents an impact of reliability on a novel 28 nm CMOS logic high-k/metal-gate (HK/MG) technologies realized by stacking TiN/HfO2/SiO2. The fast transient measurement technique to reduce the post-stress transient effect due to charge trapping/detrapping in high-k dielectric is demonstrated in Chapter 2. The correlation of degradation characteristics between the P/NBTI and HCI in advanced HK/MG dielectric CMOSFET is proposed in Chapter 3. Oxygen sensitivity and the thickness effect for the optimized gate stack is discussed in Chapter 4. Chapter 5 focuses on current fluctuations in HK gate dielectric MOSFETs due to RTS amplitude distribution, the carrier lifetime estimated with RTS by using graphical extrapolation is discussed. An overview of various aging mechanisms such as NBTI, PBTI, and HCI in the 6T SRAM by AC HTOL stress is presented in Chapter 6, and a post nitridation anneal (PNA) treatment that improves the PBTI reliability is also presented in Chapter 6. Finally, conclusions are made in Chapter 7.
劉皓軒. "28nm High-k Metal Gate 4kb SRAM-Based FIFO with Ripple Bit-Line." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/t7w2u7.
Full text國立交通大學
電子工程學系 電子研究所
104
Nowadays, the embedded memory operating in low voltage progressively becomes a major trend in System-On-Chip (SoCs) to reduce the dynamic and standby power for portable devices and for ultra-low power bio-medical and wireless sensor applications. This thesis presents a novel two-port disturb-free 9T SRAM-based FIFO with ripple read bit-line (RBL) and negative write bit-line (WBL) write assist structure to enhance subthreshold operation. As the process scales down, the wire delay little by little dominates the whole delay, especially for the subthreshold region. The proposed Ripple bit-line structure divide the bit-line into several segments by the ripple buffer. Therefore, the wire delay can be reduced apparently. Furthermore, due to the programmable property of FIFO, the Ripple bit-line structure can reduce the power consumption efficiently. The proposed 9T SRAM cell has independent single-ended RBL and WBL and bit-interleaving architecture for enhanced soft error immunity. A 4kb test chip is implemented in UMC 28-nm high-k metal gate (HKMG) CMOS technology. Measured full functionality is error-free from 0.9V down to 0.4V. The measured maximum operation frequency at 0.9V , tt corner and 25℃ is 1.1GHz..